Package: alertmanager-irc-relay Description-md5: df1bf2a170c33d4066c47c157738fc07 Description-en: IRC bot to relay Prometheus alerts using webhooks Alertmanager IRC Relay receives alerts from prometheus-alertmanager using webhooks and relays them to an IRC channel. Package: alire Description-md5: 7e71cbdb1432d63dbdcc547934cf40ef Description-en: Ada package manager A catalog of ready-to-use Ada libraries plus a command-line tool (`alr`) to obtain, build, and incorporate them into your own projects. It aims to fulfill a similar role to Rust's `cargo` or OCaml's `opam`. . This is a source package manager, in contrast to apt which is a binary package manager. Package: antpm Description-md5: b97569ae767d64acd327d9c3aa685d3c Description-en: ANT+ information retrieval client for Garmin GPS products This software uses the Garmin ANT+ proprietary USB keys and communication protocol to retrieve information (such as GPS traces) from some Garmin Forerunner watches such as Forerunner 405 and 310XT. . The underlying ANT+minus implements the ANT/ANT+/ANT-FS protocols to provide these tools: garmin-ant-downloader, antpm-downloader, antpm-fit2gpx, and antpm-usbmon2ant. . ANT+minus is a userspace implementation of a wire protocol similar to the ANT/ANT+/ANT-FS protocols. The goal is to be able to communicate with any ANT capable device in order to e.g. retrieve sports tracks. The C++ implementation is currently available under both Linux and win. Communication with watches other than the 310XT might work, but are untested. Please report your experience to help improving the software. . The software was originally named "gant" but renamed when packaged to avoid confusion with existing Java software. Package: apvlv Description-md5: 4b1d58b2d2f099faad2482c44aa92735 Description-en: PDF/EPUB/TXT/FB2/MOBI/CBZ/HTML ... viewer with Vim-like behaviour apvlv (Alf's PDF Viewer Like Vim) is a small and fast PDF/EPUB/TXT/FB2 viewer, that uses poppler for rendering. Its interface aims to users who like vim behaviour. For example opening a PDF file can be done with ':o filename'. apvlv can also work with tabs, so you can open multiple files at the same time in one program. Package: arm-trusted-firmware-tools Description-md5: 3f56b05049e0d5194652edf754afaec1 Description-en: "secure world" software for ARM SoCs - tools The "secure world" on arm64 machines is a special level of CPU privilege that is hidden from the normal OS, and has complete control over the system. It provides both initialization during early boot stages (before u-boot or EFI) and system monitor functionality once the machine is booted up. . This package includes helper tools. Package: artha Description-md5: 2305d9a361d5f6a7f9a56af1854bca96 Description-en: Handy off-line thesaurus based on WordNet Artha is a off-line English thesaurus with distinct features like: * hot-key press word look-up (select text on any window and press a preset hot-key for look-up) * regular expressions based search (broaden search using wild-cards like *, ?, etc.) * passive desktop notifications (of word definitions for uninterrupted work-flow) * spelling suggestions (when the exact spelling is vague/not known) . Once launched, it monitors for a preset hot-key combination. When some text is selected on any window and the hot-key is pressed, it pops-up with the word looked-up. Should the user prefer passive notifications, this can be done by enabling the notifications option. . When the term looked for is vague/not known, then either the search can be broadened with the use of regular expressions (*, ?, etc.) in the search string or spelling suggestions when a term is incorrect. . For regular expressions based search to work, wordnet-sense-index package is required. Package: bazel-bootstrap Description-md5: 55fa5946baafd4fcf4cbd39d4b6e524c Description-en: Tool to automate software builds and tests Supported build tasks include running compilers and linkers to produce executable programs and libraries, and assembling deployable packages for Android, iOS and other target environments. Bazel is similar to other tools like Make, Ant, Gradle, Buck, Pants and Maven. . This package contains the bootstrap variant of Bazel and should only be used on systems that do not have the full version of Bazel built yet. Package: bazel-bootstrap-data Description-md5: c966bd3e12c12865969b5bc7ec953601 Description-en: Tool to automate software builds and tests (data files) Supported build tasks include running compilers and linkers to produce executable programs and libraries, and assembling deployable packages for Android, iOS and other target environments. Bazel is similar to other tools like Make, Ant, Gradle, Buck, Pants and Maven. . This package contains architecture-independent data for the bootstrap variant of Bazel and should only be used on systems that do not have the full version of Bazel built yet. Package: bazel-bootstrap-source Description-md5: 8044790520a9cb4628d53dc410d02f42 Description-en: Tool to automate software builds and tests (source code) Supported build tasks include running compilers and linkers to produce executable programs and libraries, and assembling deployable packages for Android, iOS and other target environments. Bazel is similar to other tools like Make, Ant, Gradle, Buck, Pants and Maven. . This package contains the source code for the bootstrap variant of Bazel and should only be used on systems that do not have the full version of Bazel built yet. This package is required for building certain packages that require Bazel source code in their build process. Package: beets Description-md5: ca8f466f5c117f899fd4e865a4defa20 Description-en: music tagger and library organizer Beets is a media library management system for obsessive-compulsive music geeks. . The purpose of beets is to get your music collection right once and for all. It catalogs your collection, automatically improving its metadata as it goes using the MusicBrainz database. It then provides a set of tools for manipulating and accessing your music. . Beets also includes a music player that implements the MPD protocol, so you can play music in your beets library using any MPD client. Package: beets-doc Description-md5: dc039d8a0437d0d96a9bba3c9deb32bb Description-en: music tagger and library organizer - documentation Beets is a media library management system for obsessive-compulsive music geeks. . The purpose of beets is to get your music collection right once and for all. It catalogs your collection, automatically improving its metadata as it goes using the MusicBrainz database. It then provides a set of tools for manipulating and accessing your music. . Beets also includes a music player that implements the MPD protocol, so you can play music in your beets library using any MPD client. . This package provides detailed documentation on beets usage. Package: belcard-data Description-md5: c4168a5d646db5582258bece7696b248 Description-en: VCard standard format manipulation library Belcard is a C++ library to manipulate VCard standard format. . The package is probably not useful outside the Belledonne Communications suite of libraries and programs. . This package contains data files. Package: bellesip-data Description-md5: 4496f69982ba2ad27afd8eebf9f43061 Description-en: SIP stack from the Linphone team Belle-Sip is a new SIP stack (RFC3261) developed by the Linphone team. . Belle-Sip supports multiple transports at the same time, has a dual IPv6 and IPv4 stack, is fully asynchronous and implements the +sip.instance and alias parameters. It also handles network disconnections better, offers a privacy API and supports rich presence. . This package contains architecture-independent files. Package: binutils-gold-i686-gnu Description-md5: 26b0450026961624447193975fc5ede3 Description-en: gold ELF linker for the i686-gnu target (deprecated) Gold is intended to have complete support for ELF and to run as fast as possible on modern systems. For normal use it is a drop-in replacement for the older GNU linker. . WARNING: gold is being removed from binutils, and is deprecated upstream. Package: binutils-gold-i686-gnu-dbg Description-md5: 9d483fa23cc22fb76161ae3cf0e78a60 Description-en: gold ELF linker, for i686-gnu target (debug symbols) This package provides debug symbols for binutils-gold-i686-gnu. Package: binutils-gold-powerpc64-linux-gnu Description-md5: dd76daa3cae96358cd145023d5bed673 Description-en: gold ELF linker for the powerpc64-linux-gnu target (deprecated) Gold is intended to have complete support for ELF and to run as fast as possible on modern systems. For normal use it is a drop-in replacement for the older GNU linker. . WARNING: gold is being removed from binutils, and is deprecated upstream. Package: binutils-gold-powerpc64-linux-gnu-dbg Description-md5: e2684ec1aec284c0431fe7cd0ca1aa98 Description-en: gold ELF linker, for powerpc64-linux-gnu target (debug symbols) This package provides debug symbols for binutils-gold-powerpc64-linux-gnu. Package: binutils-gold-x86-64-gnu Description-md5: fa08bc449b024d5658575c4f7d89b82c Description-en: gold ELF linker for the x86-64-gnu target (deprecated) Gold is intended to have complete support for ELF and to run as fast as possible on modern systems. For normal use it is a drop-in replacement for the older GNU linker. . WARNING: gold is being removed from binutils, and is deprecated upstream. Package: binutils-gold-x86-64-gnu-dbg Description-md5: 2e3c95f20f669597c050490550532f27 Description-en: gold ELF linker, for x86-64-gnu target (debug symbols) This package provides debug symbols for binutils-gold-x86-64-gnu. Package: brz Description-md5: 4daa260c32bc7524a58f92196d3808bc Description-en: easy to use distributed version control system Breezy is a distributed version control system designed to be easy to use and intuitive, able to adapt to many file formats and workflows, reliable, and easily extendable. . Out of the box, Breezy supports both the Git and Bazaar repository formats. Package: brz-doc Description-md5: 50779d24d3e89dfe156ea3d8a27d9589 Description-en: easy to use distributed version control system (documentation) Breezy is a distributed version control system designed to be easy to use and intuitive, able to adapt to many file formats and workflows, reliable, and easily extendable. . This package provides the documentation. Package: buildah Description-md5: d5bd7614dccbe136c14f36ef68327be3 Description-en: CLI tool to facilitate building OCI images The Buildah package provides a command line tool that can be used to - create a working container, either from scratch or using an image as a starting point - create an image, either from a working container or via the instructions in a Dockerfile - images can be built in either the OCI image format or the traditional upstream docker image format - mount a working container's root filesystem for manipulation - unmount a working container's root filesystem - use the updated contents of a container's root filesystem as a filesystem layer to create a new image - delete a working container or an image - rename a local container . This package contains the buildah command-line utility and provides an interface similar to the 'docker' command. Package: calamares-settings-mobian Description-md5: b626547c6e5789f1f804d66f5104c1ff Description-en: Calamares branding and configuration for Mobian This package contains the branding, configuration and scripts required to boot to calamares and install Mobian on a small, touch screen only device. Package: calculix-ccx Description-md5: b9652211e4452dc83798aead93a56b9e Description-en: Three-Dimensional Structural Finite Element Program CalculiX is a free and open source finite element analysis application that uses a similar input format to Abaqus. It has an implicit and explicit solver and a pre and post processor (CGX). This package contains the solver CalculiX CrunchiX (cxx). Package: cdbs Description-md5: 84b2b5b6a68f07483ccc082be0bb4b21 Description-en: common build system for Debian packages This package contains the Common Debian Build System, an abstract build system based on Makefile inheritance which is completely extensible and overridable. In other words, CDBS provides a sane set of default rules upon which packages can build; any or all rules may be overridden as needed. Package: cecilia Description-md5: 0ad50c0128dc7c07aa943e8ebf3e38b9 Description-en: Sound synthesis and audio signal processing environment Cecilia is an audio signal processing environment aimed at sound designers. It lets you create your own GUI using a simple syntax and comes with many original built-in modules and presets for sound effects and synthesis. . Cecilia uses the pyo audio engine created for the Python programming language. Package: checkpointctl Description-md5: 9974f565ee11ff1b857e367397f62c30 Description-en: Tool to inspect Kubernetes and Podman checkpoints (program) Container engines like *Podman* and *CRI-O* have the ability to checkpoint a container. All data related to a checkpoint is collected in a checkpoint archive. . This package provides the tool checkpointctl to display information about these checkpoint archives. Package: cl-speech-dispatcher Description-md5: 7b4b0a144c4870eab899a44ed1bbb38d Description-en: Common Lisp interface to Speech Dispatcher Speech Dispatcher provides a device independent layer for speech synthesis. It supports various software and hardware speech synthesizers as backends and provides a generic layer for synthesizing speech and playing back PCM data via those different backends to applications. . Various high level concepts like enqueueing vs. interrupting speech and application specific user configurations are implemented in a device independent way, therefore freeing the application programmer from having to yet again reinvent the wheel. . This package contains a Common Lisp library for communication with Speech Dispatcher. Package: containers-storage Description-md5: 8636c564b0525a473282c66694950f4e Description-en: CLI tools for handling how containers are stored on disk storage is a Go library which aims to provide methods for storing filesystem layers, container images, and containers. A containers-storage CLI wrapper is also included for manual and scripting use. . This package contains the CLI tools. Package: coreboot-utils Description-md5: a53a788d21c6c65fe0b57a27a83b33df Description-en: Coreboot firmware utilities Free Software project aimed at replacing the proprietary BIOS (firmware) found in most computers. . This package contains various utilities used to develop and configure systems with coreboot: bucts, cbfs-compression-tool, cbfstool, cbmem, ectool, elogtool, fmaptool, ifdtool, ifittool, ifwitool, intelmetool, inteltool, intelvbttool, msrtool, nvramtool, pmh7tool, rmodtool, superiotool Package: coreboot-utils-doc Description-md5: 7036424a623ebf94f3fba5cab18f8e24 Description-en: Coreboot firmware utilities - documentation Free Software project aimed at replacing the proprietary BIOS (firmware) found in most computers. . This package contains documentation for the coreboot system. Package: cpp-12 Description-md5: 93a75b5e1f4b43c36eba0239b6fab6a3 Description-en: GNU C preprocessor A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor but not the compiler. Package: cpp-12-doc Description-md5: 22a9ba311e91d8d744a9e4df2030d2bf Description-en: Documentation for the GNU C preprocessor (cpp) Documentation for the GNU C preprocessor in info format. Package: cpp-13 Description-md5: 93a75b5e1f4b43c36eba0239b6fab6a3 Description-en: GNU C preprocessor A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor but not the compiler. Package: cpp-13-doc Description-md5: 22a9ba311e91d8d744a9e4df2030d2bf Description-en: Documentation for the GNU C preprocessor (cpp) Documentation for the GNU C preprocessor in info format. Package: cpp-13-for-build Description-md5: 4d867f66a5170c25af70c1bda2672d33 Description-en: GNU C preprocessor for the build architecture A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for the build architecture but not the compiler. . This is a dependency package. Package: cpp-13-for-host Description-md5: bdce3f8f67a0382ae150b074db5ebb6f Description-en: GNU C preprocessor for the host architecture A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for the host architecture but not the compiler. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: cpp-13-x86-64-linux-gnu Description-md5: 43b850052510e8ce407b526ada2b0877 Description-en: GNU C preprocessor for x86_64-linux-gnu A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for x86_64-linux-gnu architecture but not the compiler. Package: cpp-15 Description-md5: 93a75b5e1f4b43c36eba0239b6fab6a3 Description-en: GNU C preprocessor A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor but not the compiler. Package: cpp-15-doc Description-md5: 22a9ba311e91d8d744a9e4df2030d2bf Description-en: Documentation for the GNU C preprocessor (cpp) Documentation for the GNU C preprocessor in info format. Package: cpp-15-for-build Description-md5: 4d867f66a5170c25af70c1bda2672d33 Description-en: GNU C preprocessor for the build architecture A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for the build architecture but not the compiler. . This is a dependency package. Package: cpp-15-for-host Description-md5: bdce3f8f67a0382ae150b074db5ebb6f Description-en: GNU C preprocessor for the host architecture A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for the host architecture but not the compiler. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: cpp-15-x86-64-linux-gnu Description-md5: 43b850052510e8ce407b526ada2b0877 Description-en: GNU C preprocessor for x86_64-linux-gnu A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for x86_64-linux-gnu architecture but not the compiler. Package: crossbuild-essential-amd64 Description-md5: 9b2629bcd07961cfcb27934f1371078a Description-en: Informational list of cross-build-essential packages If you do not plan to cross build Debian packages, you don't need this package. Starting with sbuild (>= 0.63.0) this package is required for cross building Debian packages in a chroot. . This package contains an informational list of packages which are considered essential for cross building Debian packages. This package also depends on the packages on that list, to make it easy to have the cross-build-essential packages installed. . If you have this package installed, you only need to install whatever a package specifies as its build-time dependencies to cross build the package. Conversely, if you are determining what your package needs to build-depend on, you can always leave out the packages this package depends on. Package: crossbuild-essential-armel Description-md5: 9b2629bcd07961cfcb27934f1371078a Description-en: Informational list of cross-build-essential packages If you do not plan to cross build Debian packages, you don't need this package. Starting with sbuild (>= 0.63.0) this package is required for cross building Debian packages in a chroot. . This package contains an informational list of packages which are considered essential for cross building Debian packages. This package also depends on the packages on that list, to make it easy to have the cross-build-essential packages installed. . If you have this package installed, you only need to install whatever a package specifies as its build-time dependencies to cross build the package. Conversely, if you are determining what your package needs to build-depend on, you can always leave out the packages this package depends on. Package: crossbuild-essential-i386 Description-md5: 9b2629bcd07961cfcb27934f1371078a Description-en: Informational list of cross-build-essential packages If you do not plan to cross build Debian packages, you don't need this package. Starting with sbuild (>= 0.63.0) this package is required for cross building Debian packages in a chroot. . This package contains an informational list of packages which are considered essential for cross building Debian packages. This package also depends on the packages on that list, to make it easy to have the cross-build-essential packages installed. . If you have this package installed, you only need to install whatever a package specifies as its build-time dependencies to cross build the package. Conversely, if you are determining what your package needs to build-depend on, you can always leave out the packages this package depends on. Package: crossbuild-essential-powerpc Description-md5: 9b2629bcd07961cfcb27934f1371078a Description-en: Informational list of cross-build-essential packages If you do not plan to cross build Debian packages, you don't need this package. Starting with sbuild (>= 0.63.0) this package is required for cross building Debian packages in a chroot. . This package contains an informational list of packages which are considered essential for cross building Debian packages. This package also depends on the packages on that list, to make it easy to have the cross-build-essential packages installed. . If you have this package installed, you only need to install whatever a package specifies as its build-time dependencies to cross build the package. Conversely, if you are determining what your package needs to build-depend on, you can always leave out the packages this package depends on. Package: crun Description-md5: 528a25a9c7b1136d9e41411bebc303fd Description-en: lightweight OCI runtime for running containers crun is a command line program for running Linux containers that follow the Open Container Initiative (OCI) format. It is alternative to runc, but fully written in C, and focused on being fast and low-memory. . As an OCI runtime, crun is low-level and primarily intended to be used through a container engine, such as podman, docker, and containerd. Package: dbuskit-dev-tools Description-md5: 4b007804d2825ec89479687290570075 Description-en: GNUstep framework that interfaces with D-Bus (tools) The DBusKit framework offers tight integration of D-Bus services into Objective-C applications. It handles all low-level details of communication with D-Bus daemons and the services connected to them and exposes an interface similar to Distributed Objects to make it easy for Objective-C programmers to access D-Bus services. . This package contains the "dk_make_protocol" tool which generates Objective-C protocol declarations from D-Bus interface files. Package: dbuskit-doc Description-md5: 4f4d8dd7f2109b8c2a7ac91ae40aa756 Description-en: GNUstep framework that interfaces with D-Bus (documentation) The DBusKit framework offers tight integration of D-Bus services into Objective-C applications. It handles all low-level details of communication with D-Bus daemons and the services connected to them and exposes an interface similar to Distributed Objects to make it easy for Objective-C programmers to access D-Bus services. . This package contains the programming manual, the library API reference documentation an example application. Package: debsig-verify Description-md5: 17721b51f633c58de657ee56060e3c57 Description-en: Debian package signature verification tool This tool inspects and verifies binary package digital signatures based on predetermined policies, complementing repository signatures or allowing to verify the authenticity of a package even after download when detached from a repository. Package: desmume Description-md5: 3c38f4d8b701e8921ce8a7f5eb1a7aee Description-en: Nintendo DS emulator DeSmuME is a Nintendo DS emulator running homebrew demos and commercial games. . This package includes only the command line user interface desmume-cli. Package: detox Description-md5: 57a56ea30e81ef29e23165136cbfe243 Description-en: replace problematic characters in filenames detox is a utility designed to clean up filenames. It replaces difficult to work with characters, such as spaces, with standard equivalents. It will also clean up filenames with UTF-8 or Latin-1 (or CP-1252) characters in them. . Features: * Removal or replacement of upper ASCII Latin-1 (ISO 8859-1) characters; * Removal or replacement of UTF-8 encoded Unicode characters; * Removal or replacement of spaces and other potentially tricky characters; * Trimming of excessive "_" and "-"s; * Directory recursion, dry runs, verbose listings. . It is designed with safety in mind. It won't overwrite a file that already exists, and it doesn't touch special files if not requested. . detox is useful to mass rename files automatically. As just one example, you can use detox to easily standardize lots of files, as MP3 or movies, downloaded or stored inside a directory. . This package provides detox and inline-detox commands. The inline-detox can be used in command lines, as a filter in shell procedures. Package: dh-elpa Description-md5: 33114fdf50c8559c3ce451f17cb4c4a3 Description-en: Debian helper tools for packaging emacs lisp extensions This package provides a helper for packaging emacs lisp extensions in a way compatible with the GNU Emacs 'elpa' package repository. Package: dh-elpa-helper Description-md5: f15c3557d71fbb9ae8bb650684ca6a80 Description-en: helper package for emacs lisp extensions This package provides the helper scripts needed by packages built with dh-elpa (>= 2.0). This allows dh-elpa using binary packages to receive bug fixes without rebuilding. Package: dhcpcd Description-md5: 2e945b209e732c41256c26409c50e108 Description-en: DHCPv4 and DHCPv6 dual-stack client (init.d script & systemd unit) dhcpcd provides seamless IPv4 and IPv6 auto-configuration. . This package provides the optional init.d script and systemd service. . It should NOT be installed on systems where interfaces are configured by ifupdown via using the DHCP method. Package: dhcpcd5 Description-md5: c3387213d43dd2ed9690ef2f7ac33009 Description-en: DHCPv4 and DHCPv6 dual-stack client (dummy transitional package) This package depends on dhcpcd. It can safely be removed after upgrades. Package: dials Description-md5: ca13c7c5eb0b3848fea8c4630137336b Description-en: Diffraction Integration for Advanced Light Sources The DIALS software is developed in a fully open-source, collaborative environment. The main development teams are based at Diamond Light Source and CCP4, in the UK, and at Lawrence Berkeley National Laboratory, USA. However, in the spirit of the open source movement, we welcome collaboration from anyone who wishes to contribute to the project. . To avoid “reinventing the wheel” as much as possible, the DIALS project builds on knowledge accumulated over many decades in the field of crystallographic data processing. We benefit greatly from the altruism of experts who contribute their ideas and advice, either directly or via their detailed publications on existing algorithms and packages such as XDS [2] and MOSFLM [3]. At the heart of the DIALS framework lies a design philosophy of hardware abstraction and a generalised model of the experiment that is inspired directly by material published on the seminal workshops on position sensitive detector software [1]. Continuing in the spirit of these workshops we held our own series of meetings, with talks from invited speakers, and code camps in which specific problems are addressed by intensive effort across the collaboration. Summaries of these meetings and copies of slides given as presentations are available here. . DIALS is written using Python and C++, making heavy use of the cctbx [4] for core crystallographic calculations and much infrastructure including a complete build system. Seamless interaction between the C++ and Python components of this hybrid system is enabled by Boost.Python. Python provides a useful ground for rapid prototyping, after which core algorithms and data structures may be transferred over to C++ for speed. High level interfaces of the hybrid system remain in Python, facilitating further development and code reuse both within DIALS and by third parties. Package: diaspora-common Description-md5: fca0adb2b58e8d8531627141d1d4c892 Description-en: distributed social networking service - common files Diaspora (currently styled diaspora* and formerly styled DIASPORA*) is a free personal web server that implements a distributed social networking service. Installations of the software form nodes (termed "pods") which make up the distributed Diaspora social network. . Diaspora is intended to address privacy concerns related to centralized social networks by allowing users to set up their own server (or "pod") to host content; pods can then interact to share status updates, photographs, and other social data. It allows its users to host their data with a traditional web host, a cloud-based host, an ISP, or a friend. The framework, which is being built on Ruby on Rails, is free software and can be experimented with by external developers. . Learn more about Diaspora at http://diasporafoundation.org . It provides files common for the diaspora and diaspora-installer packages. Package: diaspora-installer Description-md5: 120c7fb469648e6eb3e942926e8b1ea0 Description-en: distributed social networking service - installer Diaspora (currently styled diaspora* and formerly styled DIASPORA*) is a free personal web server that implements a distributed social networking service. Installations of the software form nodes (termed "pods") which make up the distributed Diaspora social network. . Diaspora is intended to address privacy concerns related to centralized social networks by allowing users to set up their own server (or "pod") to host content; pods can then interact to share status updates, photographs, and other social data. It allows its users to host their data with a traditional web host, a cloud-based host, an ISP, or a friend. The framework, which is being built on Ruby on Rails, is free software and can be experimented with by external developers. . Learn more about Diaspora at http://diasporafoundation.org . This dummy package downloads diaspora (also pulling in runtime dependencies as rubygems) and configures it to use PostgreSQL and Nginx. . Unlike the normal Debian package, this package installs exact versions of the dependencies supported by upstream. Package: diaspora-installer-mysql Description-md5: c18a155ca94ebb0900ab3ea2aa77e4b9 Description-en: distributed social networking service - installer (with MySQL) Diaspora (currently styled diaspora* and formerly styled DIASPORA*) is a free personal web server that implements a distributed social networking service. Installations of the software form nodes (termed "pods") which make up the distributed Diaspora social network. . Diaspora is intended to address privacy concerns related to centralized social networks by allowing users to set up their own server (or "pod") to host content; pods can then interact to share status updates, photographs, and other social data. It allows its users to host their data with a traditional web host, a cloud-based host, an ISP, or a friend. The framework, which is being built on Ruby on Rails, is free software and can be experimented with by external developers. . Learn more about Diaspora at http://diasporafoundation.org . This dummy package downloads diaspora (also pulling in runtime dependencies as rubygems) and configures it to use MySQL and Nginx. . Unlike the normal Debian package, this package installs exact versions of the dependencies supported by upstream. Package: dvisvgm Description-md5: 6ea005ee1802e37799f2dafb8ae4159d Description-en: DVI to SVG converter dvisvgm is a utility for TeX/LaTeX users. It converts DVI, EPS, and PDF files to the XML-based scalable vector graphics format SVG. Package: efi-shell-aa64 Description-md5: 148e69dcdf98514fabee21516b756811 Description-en: UEFI Shell for 64-bit ARM architecture The UEFI Shell provides a command line interface running on top of the EFI API. It can be used to execute EFI binaries, to manage EFI variables and boot options, or to display details of installed devices, drivers, and protocols. This package contains the shellaa64.efi binary for the 64-bit ARM architecture. Package: efi-shell-arm Description-md5: 0b0947fdd969b8a2ac75813c4e8c15ac Description-en: UEFI Shell for 32-bit ARM architecture The UEFI Shell provides a command line interface running on top of the EFI API. It can be used to execute EFI binaries, to manage EFI variables and boot options, or to display details of installed devices, drivers, and protocols. This package contains the shellarm.efi binary for the 32-bit ARM architecture. Package: efi-shell-ia32 Description-md5: 0860db0d5a21acc4508b977482f43a7c Description-en: UEFI Shell for 32-bit x86 architecture The UEFI Shell provides a command line interface running on top of the EFI API. It can be used to execute EFI binaries, to manage EFI variables and boot options, or to display details of installed devices, drivers, and protocols. This package contains the shellia32.efi binary for the a 32-bit x86 architecture. Package: efi-shell-loongarch64 Description-md5: d87dcb728bf4c723c54605d78aeaf01a Description-en: UEFI Shell for 64-bit LoongArch architecture The UEFI Shell provides a command line interface running on top of the EFI API. It can be used to execute EFI binaries, to manage EFI variables and boot options, or to display details of installed devices, drivers, and protocols. This package contains the shellloongarch64.efi binary for the 64-bit LoongArch architecture. Package: efi-shell-riscv64 Description-md5: 3bbadf85ee08cb7a48791fb72727234d Description-en: UEFI Shell for 64-bit RISC-V architecture The UEFI Shell provides a command line interface running on top of the EFI API. It can be used to execute EFI binaries, to manage EFI variables and boot options, or to display details of installed devices, drivers, and protocols. This package contains the shellriscv64.efi binary for the 64-bit RISC-V architecture. Package: efi-shell-x64 Description-md5: 319d03d6ee48666c5aabf29cd2548e18 Description-en: UEFI Shell for 64-bit x86 architecture The UEFI Shell provides a command line interface running on top of the EFI API. It can be used to execute EFI binaries, to manage EFI variables and boot options, or to display details of installed devices, drivers, and protocols. This package contains the shellx64.efi binary for the 64-bit x86 architecture. Package: emboss Description-md5: 631f0b40fa19ed8d24d0b67284b2cdaa Description-en: European molecular biology open software suite EMBOSS is a free Open Source software analysis package specially developed for the needs of the molecular biology (e.g. EMBnet) user community. The software automatically copes with data in a variety of formats and even allows transparent retrieval of sequence data from the web. Also, as extensive libraries are provided with the package, it is a platform to allow other scientists to develop and release software in true open source spirit. EMBOSS also integrates a range of currently available packages and tools for sequence analysis into a seamless whole. EMBOSS breaks the historical trend towards commercial software packages. Package: emboss-data Description-md5: 79d0d9db011c2a24220e35e5ee92592d Description-en: data files for the EMBOSS package EMBOSS is a free Open Source software analysis package specially developed for the needs of the molecular biology (e.g. EMBnet) user community. The software automatically copes with data in a variety of formats and even allows transparent retrieval of sequence data from the web. Also, as extensive libraries are provided with the package, it is a platform to allow other scientists to develop and release software in true open source spirit. EMBOSS also integrates a range of currently available packages and tools for sequence analysis into a seamless whole. EMBOSS breaks the historical trend towards commercial software packages. . This package includes data files needed by EMBOSS, the European Molecular Biology Open Software Suite. Package: emboss-doc Description-md5: d926213056ed1d2b8e46debd2a4dc5e3 Description-en: documentation for EMBOSS EMBOSS is a free Open Source software analysis package specially developed for the needs of the molecular biology (e.g. EMBnet) user community. The software automatically copes with data in a variety of formats and even allows transparent retrieval of sequence data from the web. Also, as extensive libraries are provided with the package, it is a platform to allow other scientists to develop and release software in true open source spirit. EMBOSS also integrates a range of currently available packages and tools for sequence analysis into a seamless whole. EMBOSS breaks the historical trend towards commercial software packages. . This package includes non-essential user documentation for EMBOSS, the European Molecular Biology Open Software Suite. Package: emboss-lib Description-md5: 1a4b801fb90e6b942e8beea3b7049542 Description-en: EMBOSS Libraries This package contains the core libraries of the European Molecular Biology Open Software Suite, EMBOSS. . AJAX covers standard data structures, including strings, sequences, file handles, queues, hashes, heaps, lists, dictionaries, trees and dynamic arrays. It also covers standard algorithms including comparisons, pattern matching, sorting, and iterators. AJAX will also provide a set of high level graphics functions suited to the needs of sequence analysis applications. . The NUCLEUS library provides higher-level functions specific to molecular sequence analysis, including sequence comparisons, translation, codon usage and annotation. . It also contains a eplplot, an EMBOSS, a fork of the plplot library. . In Debian, these libraries are to be considered private. Package: emboss-test Description-md5: 33b303fdfa62c3269ac3aee5dea31b45 Description-en: test files for the EMBOSS package EMBOSS is a free Open Source software analysis package specially developed for the needs of the molecular biology (e.g. EMBnet) user community. The software automatically copes with data in a variety of formats and even allows transparent retrieval of sequence data from the web. Also, as extensive libraries are provided with the package, it is a platform to allow other scientists to develop and release software in true open source spirit. EMBOSS also integrates a range of currently available packages and tools for sequence analysis into a seamless whole. EMBOSS breaks the historical trend towards commercial software packages. . This package includes test files for EMBOSS, the European Molecular Biology Open Software Suite. . It is a good idea to use the data from this package for your bug reports when possible. Package: eye Description-md5: b509d100ee53ef205b9512e00c645e20 Description-en: semantic web reasoning engine Euler Yet another proof Engine (EYE) is a reasoning engine supporting the Semantic Web layers. It performs semibackward chaining and it supports Euler paths. Via N3 it is interoperable with Cwm. . Semibackward chaining is backward chaining for rules using <= in N3 and forward chaining for rules using => in N3. This can be seen in EYE Reasoning. . Euler paths are roughly "don't step in your own steps" which is inspired by what Leonhard Euler discovered in 1736 for the Königsberg Bridge Problem. EYE sees the rule P => C as P & NOT(C) => C. . Notation3 (N3) is a shorthand non-XML serialization of Resource Description Framework (RDF) models, designed with human-readability in mind: N3 is much more compact and readable than XML RDF notation. . Resource Description Framework (RDF) is a standard model for data interchange on the Web. Package: flent Description-md5: ac992f5a1b2fd770a6100e803f1403f5 Description-en: FLExible Network Tester for bufferbloat testing and more The FLExible Network Tester: Python wrapper to run multiple simultaneous netperf/iperf/ping instances and aggregate the results. . Tests are specified as config files (which are really Python), and various parsers for tool output are supplied. At the moment, parsers for netperf in -D mode, iperf in csv mode and ping/ping6 in -D mode are supplied, as well as a generic parser for commands that just outputs a single number. . Several commands can be run in parallel and, provided they output timestamped values, (which netperf ping and iperf do, the latter with a small patch, available in the misc/ directory), the test data points can be aligned with each other in time, interpolating differences between the actual measurement points. This makes it possible to graph (e.g.) ping times before, during and after a link is loaded. Package: flydraw Description-md5: aa058a16abd6cbeedce7c237076a8669 Description-en: command-line drawing tool flydraw is a drawing tool based on an interpreter which parses a language featuring rich graphic primitives; it uses libgd to output GIF, JPEG, or PNG files. Package: frama-c-base Description-md5: 2e7860054dd191ec0787bab2062c3031 Description-en: Platform dedicated to the analysis of source code written in C Frama-C gathers several analysis techniques in a single collaborative framework, based on analyzers (called "plug-ins") that can build upon the results computed by other analyzers in the framework. . Thanks to this approach, Frama-C provides sophisticated tools, including: * an analyzer based on abstract interpretation (Value plug-in); * a program proof framework based on weakest precondition calculus (WP plug-in); * a program slicer (Slicing plug-in); * a tool for verification of temporal (LTL) properties (Aoraï plug-in); * several tools for code base exploration and dependency analysis (plug-ins From, Impact, Metrics, Occurrence, Scope, etc.). . These plug-ins communicate between each other via the Frama-C API and via ACSL (ANSI/ISO C Specification Language) properties. . This package provides the library of Frama-c which is useful to build plugins for Frama-c, the command-line tools, and the graphical interface. Package: fscrypt Description-md5: a00e89ddf41088a1fe352513192a47b3 Description-en: Tool for managing Linux filesystem encryption fscrypt is a high-level tool for the management of Linux filesystem encryption. This tool manages metadata, key generation, key wrapping, PAM integration, and provides a uniform interface for creating and modifying encrypted directories. . To use fscrypt, you must have a filesystem with encryption enabled and a kernel that supports reading/writing from that filesystem. Currently, ext4, F2FS, and UBIFS support Linux filesystem encryption. Ext4 has supported Linux filesystem encryption since v4.1, F2FS added support in v4.2, and UBIFS added support in v4.10. Other filesystems may add support for native encryption in the future. Package: g++-12 Description-md5: 0a3fad3367cb994bd16c61b0e16a8b9c Description-en: GNU C++ compiler This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. Package: g++-12-multilib Description-md5: 291f2f15035d2eb62358c5157bbae10c Description-en: GNU C++ compiler (multilib support) This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: g++-13 Description-md5: 0a3fad3367cb994bd16c61b0e16a8b9c Description-en: GNU C++ compiler This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. Package: g++-13-for-build Description-md5: 6b662a2a3907f28ed9a0e2ac6a55d3ea Description-en: GNU C++ compiler for the build architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for arch_gnu architecture. . This is a dependency package. Package: g++-13-for-host Description-md5: 9390f1b2980c545f669a0b8b8d5b11e0 Description-en: GNU C++ compiler for the host architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for the host architecture. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: g++-13-multilib Description-md5: 291f2f15035d2eb62358c5157bbae10c Description-en: GNU C++ compiler (multilib support) This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: g++-13-x86-64-linux-gnu Description-md5: f28641d421c551d47a5e989009efe119 Description-en: GNU C++ compiler for x86_64-linux-gnu architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for x86_64-linux-gnu architecture. Package: g++-15 Description-md5: 0a3fad3367cb994bd16c61b0e16a8b9c Description-en: GNU C++ compiler This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. Package: g++-15-for-build Description-md5: 6b662a2a3907f28ed9a0e2ac6a55d3ea Description-en: GNU C++ compiler for the build architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for arch_gnu architecture. . This is a dependency package. Package: g++-15-for-host Description-md5: 9390f1b2980c545f669a0b8b8d5b11e0 Description-en: GNU C++ compiler for the host architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for the host architecture. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: g++-15-multilib Description-md5: 291f2f15035d2eb62358c5157bbae10c Description-en: GNU C++ compiler (multilib support) This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: g++-15-x86-64-linux-gnu Description-md5: f28641d421c551d47a5e989009efe119 Description-en: GNU C++ compiler for x86_64-linux-gnu architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for x86_64-linux-gnu architecture. Package: g++-mingw-w64 Description-md5: be80ce4b74c17089adf52fd4e6f4d519 Description-en: GNU C++ compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C++ compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: g++-mingw-w64-i686 Description-md5: 6366762ba193116a3b542733f5cfb5e8 Description-en: GNU C++ compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: g++-mingw-w64-i686-posix Description-md5: 59f77e288f7da43385e23cd3357279e5 Description-en: GNU C++ compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: g++-mingw-w64-i686-win32 Description-md5: a417f252857a5b8608e19402178d0d79 Description-en: GNU C++ compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: g++-mingw-w64-x86-64 Description-md5: 14601edb773363e23588439ef1aaa043 Description-en: GNU C++ compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: g++-mingw-w64-x86-64-posix Description-md5: 2f78b451e8f57f04cf2bb392f2101c0e Description-en: GNU C++ compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: g++-mingw-w64-x86-64-win32 Description-md5: b7a278b6e6a5418562b9721cbfb394f2 Description-en: GNU C++ compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: g10k Description-md5: cc4a90e3c3951fc425231ba63802bdcc Description-en: Puppet environment and module deployment g10k provides a general purpose toolset for deploying Puppet environments and modules. It implements the Puppetfile format and provides a native implementation of Puppet dynamic environments. g10k is a rewrite of puppetlabs/r10k in Go with additional optimizations such as: . - caching support - distinct SSH keys for each source - parallel cloning and checkout - enhanced Puppetfile options . It only supports Forge modules and Git repositories. Package: ga68-15 Description-md5: 30c69c461c89e2a11180e4b8c6d22def Description-en: GNU Algol 68 compiler This is the GNU Algol 68 compiler, which compiles Algol 68 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: ga68-15-for-build Description-md5: d932cad28db19ec14513ce2f387605ac Description-en: GNU Algol 68 compiler for the build architecture This is the GNU Algol 68 compiler for the build architecture, which compiles COBOL on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This is a dependency package. Package: ga68-15-for-host Description-md5: ee4bae01b40440072aed9579af2d02b1 Description-en: GNU Algol 68 compiler for the host architecture This is the GNU Algol 68 compiler for the host architecture, which compiles Algol 68 on platforms supported by gcc. It uses the gcc backend to generate optimised code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: ga68-15-x86-64-linux-gnu Description-md5: a1d70223937520d075c1df71dbd3fb4e Description-en: GNU Algol 68 compiler for the x86_64-linux-gnu architecture This is the GNU Algol 68 compiler for the x86_64-linux-gnu architecture, which compiles Algol 68 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gcc-12 Description-md5: 394374e688b1afb3af5f419895d29698 Description-en: GNU C compiler This is the GNU C compiler, a fairly portable optimizing compiler for C. Package: gcc-12-base Description-md5: b6e93638a6d08ea7a18929d7cf078e5d Description-en: GCC, the GNU Compiler Collection (base package) This package contains files common to all languages and libraries contained in the GNU Compiler Collection (GCC). Package: gcc-12-doc Description-md5: bf320d6d806b62ff03b567626d36b1fb Description-en: Documentation for the GNU compilers (gcc, gobjc, g++) Documentation for the GNU compilers in info format. Package: gcc-12-hppa64-linux-gnu Description-md5: 0ee0959bbc343ff940f7f385554ffc51 Description-en: GNU C compiler (cross compiler for hppa64) This is the GNU C compiler, a fairly portable optimizing compiler for C. Package: gcc-12-locales Description-md5: eb5e72cb380341d4ed2ad2d24c34f31d Description-en: GCC, the GNU compiler collection (native language support files) Native language support for GCC. Lets GCC speak your language, if translations are available. . Please do NOT submit bug reports in other languages than "C". Always reset your language settings to use the "C" locales. Package: gcc-12-multilib Description-md5: d1bddfa375b62324197c575c04fb2e4e Description-en: GNU C compiler (multilib support) This is the GNU C compiler, a fairly portable optimizing compiler for C. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gcc-12-offload-amdgcn Description-md5: e96e628ccd58c5a2bf9ff080281b9496 Description-en: GCC offloading compiler to GCN The package provides offloading support for AMD GCN. OpenMP and OpenACC programs linked with -fopenmp will by default add GCN code into the binaries, which can be offloaded to AMD GCN capable devices if available. Package: gcc-12-offload-nvptx Description-md5: 3c146096ed88f2f061e433f8b7d0e37c Description-en: GCC offloading compiler to NVPTX The package provides offloading support for NVidia PTX. OpenMP and OpenACC programs linked with -fopenmp will by default add PTX code into the binaries, which can be offloaded to NVidia PTX capable devices if available. Package: gcc-12-plugin-dev Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155 Description-en: Files for GNU GCC plugin development. This package contains (header) files for GNU GCC plugin development. It is only used for the development of GCC plugins, but not needed to run plugins. Package: gcc-12-source Description-md5: cb00049993a8c14b73bb041c050eb8c5 Description-en: Source of the GNU Compiler Collection This package contains the sources and patches which are needed to build the GNU Compiler Collection (GCC). Package: gcc-12-test-results Description-md5: dc68b9fb54342c9f71afbc0efcf1ade0 Description-en: Test results for the GCC test suite This package contains the test results for running the GCC test suite for a post build analysis. Package: gcc-13 Description-md5: 394374e688b1afb3af5f419895d29698 Description-en: GNU C compiler This is the GNU C compiler, a fairly portable optimizing compiler for C. Package: gcc-13-base Description-md5: b6e93638a6d08ea7a18929d7cf078e5d Description-en: GCC, the GNU Compiler Collection (base package) This package contains files common to all languages and libraries contained in the GNU Compiler Collection (GCC). Package: gcc-13-doc Description-md5: bf320d6d806b62ff03b567626d36b1fb Description-en: Documentation for the GNU compilers (gcc, gobjc, g++) Documentation for the GNU compilers in info format. Package: gcc-13-for-build Description-md5: 1231e8563c440c0230388f9db0660a3c Description-en: GNU C compiler for the build architecture This is the GNU C compiler, a fairly portable optimizing compiler for C. . This is a dependency package. Package: gcc-13-for-host Description-md5: 2f6f77eeb03535ba77299cfe1a0ef756 Description-en: GNU C compiler for the host architecture This is the GNU C compiler, a fairly portable optimizing compiler for C. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gcc-13-hppa64-linux-gnu Description-md5: 0ee0959bbc343ff940f7f385554ffc51 Description-en: GNU C compiler (cross compiler for hppa64) This is the GNU C compiler, a fairly portable optimizing compiler for C. Package: gcc-13-locales Description-md5: eb5e72cb380341d4ed2ad2d24c34f31d Description-en: GCC, the GNU compiler collection (native language support files) Native language support for GCC. Lets GCC speak your language, if translations are available. . Please do NOT submit bug reports in other languages than "C". Always reset your language settings to use the "C" locales. Package: gcc-13-multilib Description-md5: d1bddfa375b62324197c575c04fb2e4e Description-en: GNU C compiler (multilib support) This is the GNU C compiler, a fairly portable optimizing compiler for C. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gcc-13-offload-amdgcn Description-md5: e96e628ccd58c5a2bf9ff080281b9496 Description-en: GCC offloading compiler to GCN The package provides offloading support for AMD GCN. OpenMP and OpenACC programs linked with -fopenmp will by default add GCN code into the binaries, which can be offloaded to AMD GCN capable devices if available. Package: gcc-13-offload-nvptx Description-md5: 3c146096ed88f2f061e433f8b7d0e37c Description-en: GCC offloading compiler to NVPTX The package provides offloading support for NVidia PTX. OpenMP and OpenACC programs linked with -fopenmp will by default add PTX code into the binaries, which can be offloaded to NVidia PTX capable devices if available. Package: gcc-13-plugin-dev Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155 Description-en: Files for GNU GCC plugin development. This package contains (header) files for GNU GCC plugin development. It is only used for the development of GCC plugins, but not needed to run plugins. Package: gcc-13-source Description-md5: cb00049993a8c14b73bb041c050eb8c5 Description-en: Source of the GNU Compiler Collection This package contains the sources and patches which are needed to build the GNU Compiler Collection (GCC). Package: gcc-13-test-results Description-md5: dc68b9fb54342c9f71afbc0efcf1ade0 Description-en: Test results for the GCC test suite This package contains the test results for running the GCC test suite for a post build analysis. Package: gcc-13-x86-64-linux-gnu Description-md5: 7bf4c826102db622ef3a4d867a96ef4e Description-en: GNU C compiler for the x86_64-linux-gnu architecture This is the GNU C compiler for the x86_64-linux-gnu architecture, a fairly portable optimizing compiler for C. Package: gcc-14-hppa64-linux-gnu Description-md5: 0ee0959bbc343ff940f7f385554ffc51 Description-en: GNU C compiler (cross compiler for hppa64) This is the GNU C compiler, a fairly portable optimizing compiler for C. Package: gcc-14-locales Description-md5: eb5e72cb380341d4ed2ad2d24c34f31d Description-en: GCC, the GNU compiler collection (native language support files) Native language support for GCC. Lets GCC speak your language, if translations are available. . Please do NOT submit bug reports in other languages than "C". Always reset your language settings to use the "C" locales. Package: gcc-14-offload-amdgcn Description-md5: e96e628ccd58c5a2bf9ff080281b9496 Description-en: GCC offloading compiler to GCN The package provides offloading support for AMD GCN. OpenMP and OpenACC programs linked with -fopenmp will by default add GCN code into the binaries, which can be offloaded to AMD GCN capable devices if available. Package: gcc-14-offload-nvptx Description-md5: 3c146096ed88f2f061e433f8b7d0e37c Description-en: GCC offloading compiler to NVPTX The package provides offloading support for NVidia PTX. OpenMP and OpenACC programs linked with -fopenmp will by default add PTX code into the binaries, which can be offloaded to NVidia PTX capable devices if available. Package: gcc-14-plugin-dev Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155 Description-en: Files for GNU GCC plugin development. This package contains (header) files for GNU GCC plugin development. It is only used for the development of GCC plugins, but not needed to run plugins. Package: gcc-14-source Description-md5: cb00049993a8c14b73bb041c050eb8c5 Description-en: Source of the GNU Compiler Collection This package contains the sources and patches which are needed to build the GNU Compiler Collection (GCC). Package: gcc-14-test-results Description-md5: dc68b9fb54342c9f71afbc0efcf1ade0 Description-en: Test results for the GCC test suite This package contains the test results for running the GCC test suite for a post build analysis. Package: gcc-15 Description-md5: 394374e688b1afb3af5f419895d29698 Description-en: GNU C compiler This is the GNU C compiler, a fairly portable optimizing compiler for C. Package: gcc-15-diagnostics Description-md5: 3b093af11d873e3197ab5004eca6c6c1 Description-en: GCC diagnostic tools Currently only provides the sarif-replay command-line tool, which takes SARIF files as input, and uses libdiagnostics to replay any diagnostics within them as if they were GCC diagnostics. Package: gcc-15-doc Description-md5: bf320d6d806b62ff03b567626d36b1fb Description-en: Documentation for the GNU compilers (gcc, gobjc, g++) Documentation for the GNU compilers in info format. Package: gcc-15-for-build Description-md5: 1231e8563c440c0230388f9db0660a3c Description-en: GNU C compiler for the build architecture This is the GNU C compiler, a fairly portable optimizing compiler for C. . This is a dependency package. Package: gcc-15-for-host Description-md5: 2f6f77eeb03535ba77299cfe1a0ef756 Description-en: GNU C compiler for the host architecture This is the GNU C compiler, a fairly portable optimizing compiler for C. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gcc-15-hppa64-linux-gnu Description-md5: 0ee0959bbc343ff940f7f385554ffc51 Description-en: GNU C compiler (cross compiler for hppa64) This is the GNU C compiler, a fairly portable optimizing compiler for C. Package: gcc-15-locales Description-md5: eb5e72cb380341d4ed2ad2d24c34f31d Description-en: GCC, the GNU compiler collection (native language support files) Native language support for GCC. Lets GCC speak your language, if translations are available. . Please do NOT submit bug reports in other languages than "C". Always reset your language settings to use the "C" locales. Package: gcc-15-multilib Description-md5: d1bddfa375b62324197c575c04fb2e4e Description-en: GNU C compiler (multilib support) This is the GNU C compiler, a fairly portable optimizing compiler for C. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gcc-15-offload-amdgcn Description-md5: e96e628ccd58c5a2bf9ff080281b9496 Description-en: GCC offloading compiler to GCN The package provides offloading support for AMD GCN. OpenMP and OpenACC programs linked with -fopenmp will by default add GCN code into the binaries, which can be offloaded to AMD GCN capable devices if available. Package: gcc-15-offload-nvptx Description-md5: 3c146096ed88f2f061e433f8b7d0e37c Description-en: GCC offloading compiler to NVPTX The package provides offloading support for NVidia PTX. OpenMP and OpenACC programs linked with -fopenmp will by default add PTX code into the binaries, which can be offloaded to NVidia PTX capable devices if available. Package: gcc-15-plugin-dev Description-md5: f04e3fe2e4b62ffb72f0dc5ae683f155 Description-en: Files for GNU GCC plugin development. This package contains (header) files for GNU GCC plugin development. It is only used for the development of GCC plugins, but not needed to run plugins. Package: gcc-15-source Description-md5: cb00049993a8c14b73bb041c050eb8c5 Description-en: Source of the GNU Compiler Collection This package contains the sources and patches which are needed to build the GNU Compiler Collection (GCC). Package: gcc-15-test-results Description-md5: dc68b9fb54342c9f71afbc0efcf1ade0 Description-en: Test results for the GCC test suite This package contains the test results for running the GCC test suite for a post build analysis. Package: gcc-15-x86-64-linux-gnu Description-md5: 7bf4c826102db622ef3a4d867a96ef4e Description-en: GNU C compiler for the x86_64-linux-gnu architecture This is the GNU C compiler for the x86_64-linux-gnu architecture, a fairly portable optimizing compiler for C. Package: gcc-mingw-w64 Description-md5: 186a2a61eb56afd112def7e23ba6c0ba Description-en: GNU C compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: gcc-mingw-w64-base Description-md5: c672bcae021d4c3e369501f16896add5 Description-en: GNU Compiler Collection for MinGW-w64 (base package) MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This empty package contains the documentation common to all gcc-mingw-w64 packages. Package: gcc-mingw-w64-i686 Description-md5: 1df9e48aacb272ce9615f670323ec4b7 Description-en: GNU C compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gcc-mingw-w64-i686-posix Description-md5: aaea0a71bcf8004dbff53ab14cdc680c Description-en: GNU C compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: gcc-mingw-w64-i686-posix-runtime Description-md5: 8cf4db2a61554a8b638d718263fa1896 Description-en: GNU Compiler Collection for MinGW-w64, i686/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the runtime DLLs used by GCC and applications built with it, for i686, using the POSIX thread model. Its purpose is both to provide the DLLs used during builds, and to allow packages shipping Windows programs to depend on those DLLs without pulling in the complete toolchain. Package: gcc-mingw-w64-i686-win32 Description-md5: 9d97b15b1a36742b6f1c0af43e50bd9e Description-en: GNU C compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: gcc-mingw-w64-i686-win32-runtime Description-md5: 388de3e4d18656b3a94b84c4a51659cf Description-en: GNU Compiler Collection for MinGW-w64, i686/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the runtime DLLs used by GCC and applications built with it, for i686, using the Win32 thread model. Its purpose is both to provide the DLLs used during builds, and to allow packages shipping Windows programs to depend on those DLLs without pulling in the complete toolchain. Package: gcc-mingw-w64-x86-64 Description-md5: cfe2f1984459e655ca7197df3f410b29 Description-en: GNU C compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gcc-mingw-w64-x86-64-posix Description-md5: 6a3f3e94c5611377c2ca02e8e014ab3a Description-en: GNU C compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: gcc-mingw-w64-x86-64-posix-runtime Description-md5: a45e6da30baf0e44fb002221b7820e3f Description-en: GNU Compiler Collection for MinGW-w64, x86-64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the runtime DLLs used by GCC and applications built with it, for x86-64, using the POSIX thread model. Its purpose is both to provide the DLLs used during builds, and to allow packages shipping Windows programs to depend on those DLLs without pulling in the complete toolchain. Package: gcc-mingw-w64-x86-64-win32 Description-md5: caa3be7db7eeed56498fd7c4fb27f2b2 Description-en: GNU C compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: gcc-mingw-w64-x86-64-win32-runtime Description-md5: 6a92bf4ba77035bbc3f428c93f7fd133 Description-en: GNU Compiler Collection for MinGW-w64, x86-64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the runtime DLLs used by GCC and applications built with it, for x86-64, using the Win32 thread model. Its purpose is both to provide the DLLs used during builds, and to allow packages shipping Windows programs to depend on those DLLs without pulling in the complete toolchain. Package: gccgo-12 Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d Description-en: GNU Go compiler This is the GNU Go compiler, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-12-doc Description-md5: 76326180b2469131aa9547f46e5b3105 Description-en: Documentation for the GNU Go compiler (gccgo) Documentation for the GNU Go compiler in info format. Package: gccgo-12-multilib Description-md5: 25e9a6929ec801c16cd933e711c7f1ed Description-en: GNU Go compiler (multilib support) This is the GNU Go compiler, which compiles Go on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gccgo-13 Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d Description-en: GNU Go compiler This is the GNU Go compiler, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-13-doc Description-md5: 76326180b2469131aa9547f46e5b3105 Description-en: Documentation for the GNU Go compiler (gccgo) Documentation for the GNU Go compiler in info format. Package: gccgo-13-for-build Description-md5: 48f6a7ed16a17b424736635ff7390599 Description-en: GNU Go compiler for the build architecture This is the GNU Go compiler for the build architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package. Package: gccgo-13-for-host Description-md5: 3709a5079a9cb97b4e9a88427901107d Description-en: GNU Go compiler for the host architecture This is the GNU Go compiler for the host architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gccgo-13-multilib Description-md5: 25e9a6929ec801c16cd933e711c7f1ed Description-en: GNU Go compiler (multilib support) This is the GNU Go compiler, which compiles Go on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gccgo-13-x86-64-linux-gnu Description-md5: fdb31c41e8727172123ebc6e0fa9807a Description-en: GNU Go compiler for the x86_64-linux-gnu architecture This is the GNU Go compiler for the x86_64-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-14 Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d Description-en: GNU Go compiler This is the GNU Go compiler, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-14-doc Description-md5: 76326180b2469131aa9547f46e5b3105 Description-en: Documentation for the GNU Go compiler (gccgo) Documentation for the GNU Go compiler in info format. Package: gccgo-14-for-build Description-md5: 48f6a7ed16a17b424736635ff7390599 Description-en: GNU Go compiler for the build architecture This is the GNU Go compiler for the build architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package. Package: gccgo-14-for-host Description-md5: 3709a5079a9cb97b4e9a88427901107d Description-en: GNU Go compiler for the host architecture This is the GNU Go compiler for the host architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gccgo-14-multilib Description-md5: 25e9a6929ec801c16cd933e711c7f1ed Description-en: GNU Go compiler (multilib support) This is the GNU Go compiler, which compiles Go on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gccgo-14-x86-64-linux-gnu Description-md5: fdb31c41e8727172123ebc6e0fa9807a Description-en: GNU Go compiler for the x86_64-linux-gnu architecture This is the GNU Go compiler for the x86_64-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-15 Description-md5: 58c2a4ce4d3fe6815f7a6ee86b4db16d Description-en: GNU Go compiler This is the GNU Go compiler, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-15-doc Description-md5: 76326180b2469131aa9547f46e5b3105 Description-en: Documentation for the GNU Go compiler (gccgo) Documentation for the GNU Go compiler in info format. Package: gccgo-15-for-build Description-md5: 48f6a7ed16a17b424736635ff7390599 Description-en: GNU Go compiler for the build architecture This is the GNU Go compiler for the build architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package. Package: gccgo-15-for-host Description-md5: 3709a5079a9cb97b4e9a88427901107d Description-en: GNU Go compiler for the host architecture This is the GNU Go compiler for the host architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gccgo-15-multilib Description-md5: 25e9a6929ec801c16cd933e711c7f1ed Description-en: GNU Go compiler (multilib support) This is the GNU Go compiler, which compiles Go on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gccgo-15-x86-64-linux-gnu Description-md5: fdb31c41e8727172123ebc6e0fa9807a Description-en: GNU Go compiler for the x86_64-linux-gnu architecture This is the GNU Go compiler for the x86_64-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccrs-14 Description-md5: edc83783dbd68b432855822f5c422cb4 Description-en: GNU Rust compiler !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gccrs-14-for-build Description-md5: 5066e422e5da41e2f2dd30ef10e0bcf7 Description-en: GNU Rust compiler for the build architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . This is a dependency package. Package: gccrs-14-for-host Description-md5: 0526722408b6a3a14aeb6aac1f703999 Description-en: GNU Rust compiler for the host architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gccrs-14-x86-64-linux-gnu Description-md5: 31912838d25768bf9d621e13e0ae3088 Description-en: GNU Rust compiler for the x86_64-linux-gnu architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gccrs-15 Description-md5: edc83783dbd68b432855822f5c422cb4 Description-en: GNU Rust compiler !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gccrs-15-for-build Description-md5: 5066e422e5da41e2f2dd30ef10e0bcf7 Description-en: GNU Rust compiler for the build architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . This is a dependency package. Package: gccrs-15-for-host Description-md5: 0526722408b6a3a14aeb6aac1f703999 Description-en: GNU Rust compiler for the host architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gccrs-15-x86-64-linux-gnu Description-md5: 31912838d25768bf9d621e13e0ae3088 Description-en: GNU Rust compiler for the x86_64-linux-gnu architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gcin Description-md5: 76aafdefb6c4738db36a21e1d9cbbd66 Description-en: GTK+ based input method for Chinese users gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . To use gcin, the correspondence immodule packages also need to be installed. They are: . * gcin-gtk2-immodule for GTK2 * gcin-gtk3-immodule for GTK3 * gcin-qt5-immodule for Qt5 Package: gcin-anthy Description-md5: 4c536c3e43101619a9a80eaa2dfd2f6b Description-en: support library to use Anthy in gcin gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package is the support library to use anthy in gcin. Package: gcin-data Description-md5: df99f0ed19376dce96e764842e1e9334 Description-en: icons and scripts for gcin gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package contains icons, scripts and other architecture-independent things. Package: gcin-gtk2-immodule Description-md5: 7185dac38716d3678f078a67ce57249a Description-en: GTK2 input method module with gcin as backend gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package is the GTK2 input method module (immodule) for gcin. Package: gcin-gtk3-immodule Description-md5: 9f6d772990da66bfd1fe3978f8102f76 Description-en: GTK3 input method module with gcin as backend gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package is the GTK3 input method module (immodule) for gcin. Package: gcin-qt5-immodule Description-md5: 47a564ee5f7aa4d010076956b05f5557 Description-en: Qt5 input method module with gcin as backend gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package is the Qt5 input method module (immodule) for gcin. Package: gcin-tables Description-md5: f0ffb01141ee45898b43f6fe35c1a919 Description-en: input method tables for gcin gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package contains architecture-dependent input method tables. Package: gcobol-15 Description-md5: fb2b7a1b0cc69f3d6d8fb85ce21008e4 Description-en: GNU COBOL compiler This is the GNU COBOL compiler, which compiles COBOL on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gcobol-15-for-build Description-md5: 20db7310701fe6d3e72769c140375356 Description-en: GNU COBOL compiler for the build architecture This is the GNU COBOL compiler for the build architecture, which compiles COBOL on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This is a dependency package. Package: gcobol-15-for-host Description-md5: 3146c4ecabe497109d6a298a7c1c7724 Description-en: GNU COBOL compiler for the host architecture This is the GNU COBOL compiler for the host architecture, which compiles COBOL on platforms supported by gcc. It uses the gcc backend to generate optimised code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gcobol-15-x86-64-linux-gnu Description-md5: b9721de3b3392759192464a146e9734d Description-en: GNU COBOL compiler for the x86_64-linux-gnu architecture This is the GNU COBOL compiler for the x86_64-linux-gnu architecture, which compiles COBOL on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gdc-12 Description-md5: 864a87c3c14c54bac8e1eca97a6eb9a7 Description-en: GNU D compiler (version 2) This is the GNU D compiler, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-12-multilib Description-md5: 5bd997d730190f1d6151d11f184d631d Description-en: GNU D compiler (version 2, multilib support) This is the GNU D compiler, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gdc-13 Description-md5: 864a87c3c14c54bac8e1eca97a6eb9a7 Description-en: GNU D compiler (version 2) This is the GNU D compiler, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-13-for-build Description-md5: 37e9bbaf8fbfca59e8217dc2367c7215 Description-en: GNU D compiler (version 2) for the build architecture This is the GNU D compiler for the build architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. . This is a dependency package. Package: gdc-13-for-host Description-md5: 9da49654390f533a91350e9ffc22d416 Description-en: GNU D compiler (version 2) for the host architecture This is the GNU D compiler for the host architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gdc-13-multilib Description-md5: 5bd997d730190f1d6151d11f184d631d Description-en: GNU D compiler (version 2, multilib support) This is the GNU D compiler, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gdc-13-x86-64-linux-gnu Description-md5: 3370d98e61f8c9ed857813919b9a5f4c Description-en: GNU D compiler (version 2) for the x86_64-linux-gnu architecture This is the GNU D compiler for the x86_64-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-14 Description-md5: 864a87c3c14c54bac8e1eca97a6eb9a7 Description-en: GNU D compiler (version 2) This is the GNU D compiler, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-14-for-build Description-md5: 37e9bbaf8fbfca59e8217dc2367c7215 Description-en: GNU D compiler (version 2) for the build architecture This is the GNU D compiler for the build architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. . This is a dependency package. Package: gdc-14-for-host Description-md5: 9da49654390f533a91350e9ffc22d416 Description-en: GNU D compiler (version 2) for the host architecture This is the GNU D compiler for the host architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gdc-14-multilib Description-md5: 5bd997d730190f1d6151d11f184d631d Description-en: GNU D compiler (version 2, multilib support) This is the GNU D compiler, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gdc-14-x86-64-linux-gnu Description-md5: 3370d98e61f8c9ed857813919b9a5f4c Description-en: GNU D compiler (version 2) for the x86_64-linux-gnu architecture This is the GNU D compiler for the x86_64-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-15 Description-md5: 864a87c3c14c54bac8e1eca97a6eb9a7 Description-en: GNU D compiler (version 2) This is the GNU D compiler, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-15-for-build Description-md5: 37e9bbaf8fbfca59e8217dc2367c7215 Description-en: GNU D compiler (version 2) for the build architecture This is the GNU D compiler for the build architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. . This is a dependency package. Package: gdc-15-for-host Description-md5: 9da49654390f533a91350e9ffc22d416 Description-en: GNU D compiler (version 2) for the host architecture This is the GNU D compiler for the host architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gdc-15-multilib Description-md5: 5bd997d730190f1d6151d11f184d631d Description-en: GNU D compiler (version 2, multilib support) This is the GNU D compiler, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gdc-15-x86-64-linux-gnu Description-md5: 3370d98e61f8c9ed857813919b9a5f4c Description-en: GNU D compiler (version 2) for the x86_64-linux-gnu architecture This is the GNU D compiler for the x86_64-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: genwqe-tools Description-md5: 2df62bffda3a05a5d04ac79b7e33d99c Description-en: utilities for accelerated libz implementation Accelerated libz implementation uses FPGA based PCIe cards (e.g. zEDC) to accelerate compression/decompression. . This package provides utilities to use libzADC, as well as gzip/gunzip names for genwqe utilities in a subdirectory not in $PATH. Package: geophar Description-md5: c3acc8ca9907ae13522455d6c16ff829 Description-en: Swiss army knife for the math teacher this application contains every tool you would like to find when preparing math courses, exercises or their keys. Features: - symbolic calculus - tables of variations, LaTeX syntax - dynamic geometry - probability trees - graphs - function plotting - histograms, etc. Package: gfortran-12 Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2 Description-en: GNU Fortran compiler This is the GNU Fortran compiler, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-12-doc Description-md5: 17a01a9ada93e104865a01e6615e2401 Description-en: Documentation for the GNU Fortran compiler (gfortran) Documentation for the GNU Fortran compiler in info format. Package: gfortran-12-multilib Description-md5: ad5cf91f123889c593b105572b4a958c Description-en: GNU Fortran compiler (multilib support) This is the GNU Fortran compiler, which compiles Fortran on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gfortran-13 Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2 Description-en: GNU Fortran compiler This is the GNU Fortran compiler, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-13-doc Description-md5: 17a01a9ada93e104865a01e6615e2401 Description-en: Documentation for the GNU Fortran compiler (gfortran) Documentation for the GNU Fortran compiler in info format. Package: gfortran-13-for-build Description-md5: 748b70d5e078f51a0a1a8810f97e7888 Description-en: GNU Fortran compiler for the build architecture This is the GNU Fortran compiler for the build architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package. Package: gfortran-13-for-host Description-md5: 45750004f61e98b776fd803bf4361bf0 Description-en: GNU Fortran compiler for the host architecture This is the GNU Fortran compiler for the host architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gfortran-13-multilib Description-md5: ad5cf91f123889c593b105572b4a958c Description-en: GNU Fortran compiler (multilib support) This is the GNU Fortran compiler, which compiles Fortran on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gfortran-13-x86-64-linux-gnu Description-md5: d58c4dfdb182f598353d755dda19253d Description-en: GNU Fortran compiler for the x86_64-linux-gnu architecture This is the GNU Fortran compiler for the x86_64-linux-gnu architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-15 Description-md5: 5dd93c5d21d0717205bc5a3b538b0ca2 Description-en: GNU Fortran compiler This is the GNU Fortran compiler, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-15-doc Description-md5: 17a01a9ada93e104865a01e6615e2401 Description-en: Documentation for the GNU Fortran compiler (gfortran) Documentation for the GNU Fortran compiler in info format. Package: gfortran-15-for-build Description-md5: 748b70d5e078f51a0a1a8810f97e7888 Description-en: GNU Fortran compiler for the build architecture This is the GNU Fortran compiler for the build architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package. Package: gfortran-15-for-host Description-md5: 45750004f61e98b776fd803bf4361bf0 Description-en: GNU Fortran compiler for the host architecture This is the GNU Fortran compiler for the host architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gfortran-15-multilib Description-md5: ad5cf91f123889c593b105572b4a958c Description-en: GNU Fortran compiler (multilib support) This is the GNU Fortran compiler, which compiles Fortran on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gfortran-15-x86-64-linux-gnu Description-md5: d58c4dfdb182f598353d755dda19253d Description-en: GNU Fortran compiler for the x86_64-linux-gnu architecture This is the GNU Fortran compiler for the x86_64-linux-gnu architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-mingw-w64 Description-md5: 226bdce350b0262f3c77b87c36299c88 Description-en: GNU Fortran compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Fortran compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: gfortran-mingw-w64-i686 Description-md5: 8514229bdbe4d596f03ba9790bbcfd2a Description-en: GNU Fortran compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Fortran compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gfortran-mingw-w64-i686-posix Description-md5: 8a0592c2734bdbf3cad2e63b33589d7c Description-en: GNU Fortran compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Fortran compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: gfortran-mingw-w64-i686-win32 Description-md5: 189f58f8edc956cf8be003dc5d75ed39 Description-en: GNU Fortran compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Fortran compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: gfortran-mingw-w64-x86-64 Description-md5: f81944970a0452452936b0dfcfe0605b Description-en: GNU Fortran compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Fortran compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gfortran-mingw-w64-x86-64-posix Description-md5: 416377ec0777856235543e1a2b85afce Description-en: GNU Fortran compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Fortran compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: gfortran-mingw-w64-x86-64-win32 Description-md5: 8d5bee720a90108f09b45c667c3c492e Description-en: GNU Fortran compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Fortran compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: gir1.2-garcon-1.0 Description-md5: 9e68bf6e334fd15da89f853771e09c4e Description-en: Typelib file for garcon garcon is a menu implementation that is compliant with the Desktop Menu Specification of freedesktop.org . This package provides the introspection data for garcon. Package: gir1.2-garcongtk-1.0 Description-md5: 87146d9ae71f2dbaa736a15f2ff7012b Description-en: Typelib file for garcon GTK garcon is a menu implementation that is compliant with the Desktop Menu Specification of freedesktop.org . This package provides the introspection data for garcon GTK. Package: git-annex Description-md5: 88efc7abdf2a743a6caeeb3db7c83733 Description-en: manage files with git, without checking their contents into git git-annex allows managing large files with git, without storing the file contents in git. It can sync, backup, and archive your data, offline and online. Checksums and encryption keep your data safe and secure. Bring the power and distributed nature of git to bear on your large files with git-annex. . It can store large files in many places, from local hard drives, to a large number of cloud storage services, including S3, WebDAV, and rsync, with dozens of cloud storage providers usable via plugins. Files can be stored encrypted with gpg, so that the cloud storage provider cannot see your data. git-annex keeps track of where each file is stored, so it knows how many copies are available, and has many facilities to ensure your data is preserved. . git-annex can also be used to keep a folder in sync between computers, noticing when files are changed, and automatically committing them to git and transferring them to other computers. The git-annex webapp makes it easy to set up and use git-annex this way. Package: glibc-source Description-md5: 1892ef83f2f36db0d236ccc041158b32 Description-en: GNU C Library: sources This package contains the sources and patches which are needed to build glibc. Package: gm2-12 Description-md5: 30035702cc3ba14baf3e36ae95a9b1c6 Description-en: GNU Modula-2 compiler This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-12-doc Description-md5: 8c1ff0489b300a48b35a366311e7c7fd Description-en: Documentation for the GNU Modula-2 compiler (gm2) Documentation for the GNU Modula-2 compiler in HTML and info format. Package: gm2-13 Description-md5: 86da0732fb89cbbbb777aebc6d46bb28 Description-en: GNU D compiler (version 2) This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-13-doc Description-md5: 8c1ff0489b300a48b35a366311e7c7fd Description-en: Documentation for the GNU Modula-2 compiler (gm2) Documentation for the GNU Modula-2 compiler in HTML and info format. Package: gm2-13-for-build Description-md5: 7cc656c744910632285aea28d1eea6e5 Description-en: GNU Modula-2 compiler for the build architecture This is the GNU Modula-2 compiler for the build architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This is a dependency package. Package: gm2-13-for-host Description-md5: c116400de44ebf7dafebe5650c8d9515 Description-en: GNU Modula-2 compiler for the host architecture This is the GNU Modula-2 compiler for the host architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gm2-13-x86-64-linux-gnu Description-md5: 715017f94accf8a4e1546b56f9253afd Description-en: GNU Modula-2 compiler for the x86_64-linux-gnu architecture This is the GNU Modula-2 compiler for the x86_64-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-14 Description-md5: e64f12bc1504dd633dc9ff6d7313cb70 Description-en: GNU Modula-2 compiler (version 2) This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-14-doc Description-md5: 8c1ff0489b300a48b35a366311e7c7fd Description-en: Documentation for the GNU Modula-2 compiler (gm2) Documentation for the GNU Modula-2 compiler in HTML and info format. Package: gm2-14-for-build Description-md5: 7cc656c744910632285aea28d1eea6e5 Description-en: GNU Modula-2 compiler for the build architecture This is the GNU Modula-2 compiler for the build architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This is a dependency package. Package: gm2-14-for-host Description-md5: c116400de44ebf7dafebe5650c8d9515 Description-en: GNU Modula-2 compiler for the host architecture This is the GNU Modula-2 compiler for the host architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gm2-14-x86-64-linux-gnu Description-md5: 715017f94accf8a4e1546b56f9253afd Description-en: GNU Modula-2 compiler for the x86_64-linux-gnu architecture This is the GNU Modula-2 compiler for the x86_64-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-15 Description-md5: e64f12bc1504dd633dc9ff6d7313cb70 Description-en: GNU Modula-2 compiler (version 2) This is the GNU Modula-2 compiler, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-15-doc Description-md5: 8c1ff0489b300a48b35a366311e7c7fd Description-en: Documentation for the GNU Modula-2 compiler (gm2) Documentation for the GNU Modula-2 compiler in HTML and info format. Package: gm2-15-for-build Description-md5: 7cc656c744910632285aea28d1eea6e5 Description-en: GNU Modula-2 compiler for the build architecture This is the GNU Modula-2 compiler for the build architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This is a dependency package. Package: gm2-15-for-host Description-md5: c116400de44ebf7dafebe5650c8d9515 Description-en: GNU Modula-2 compiler for the host architecture This is the GNU Modula-2 compiler for the host architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gm2-15-x86-64-linux-gnu Description-md5: 715017f94accf8a4e1546b56f9253afd Description-en: GNU Modula-2 compiler for the x86_64-linux-gnu architecture This is the GNU Modula-2 compiler for the x86_64-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gnat Description-md5: 427f6897ee4efebdcecc99b90129a4c7 Description-en: GNU Ada compiler GNAT is a full-featured Ada 2012 compiler. A quote from http://www.adaic.org says: "Easily reused and maintained, readable and user friendly, Ada code facilitates such massive software projects as the Space Station and the Paris Metro. It has proven to be extraordinarily robust in decades' worth of daily field tests under the most rigorous conditions in which millions of lives have been at stake." Ada is the language for real-world, mission-critical programming. . At the same time, Ada's radical type safety helps novice programmers avoid many common mistakes and deliver their software on time (see http://www.adaic.org/atwork/trains.html). . This empty package depends on the default version of the Ada compiler for Debian, which is part of the GNU Compiler Collection. Its enforces the same version for all Ada compilations, as described in the Debian Ada Policy. Package: gnat-12 Description-md5: 8cebde0cd6b861927a7a457cacc8851f Description-en: GNU Ada compiler GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-12-doc Description-md5: 8139d6afb41aa31e17dbd1884d4ab725 Description-en: GNU Ada compiler (documentation) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnat library provides runtime components needed by most applications produced with GNAT. . This package contains the documentation in info format. Package: gnat-13 Description-md5: 8cebde0cd6b861927a7a457cacc8851f Description-en: GNU Ada compiler GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-13-doc Description-md5: 8139d6afb41aa31e17dbd1884d4ab725 Description-en: GNU Ada compiler (documentation) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnat library provides runtime components needed by most applications produced with GNAT. . This package contains the documentation in info format. Package: gnat-13-for-build Description-md5: 66ec605ce8a00da3b6957457f873b44e Description-en: GNU Ada compiler for the build architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. . This is a dependency package. Package: gnat-13-for-host Description-md5: 26e4a341bb88e54a4842408bed0e44a5 Description-en: GNU Ada compiler for the host architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gnat-13-x86-64-linux-gnu Description-md5: 759fa47ec4a247c672dca154584fe221 Description-en: GNU Ada compiler for the x86_64-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-14 Description-md5: 8cebde0cd6b861927a7a457cacc8851f Description-en: GNU Ada compiler GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-14-doc Description-md5: 8139d6afb41aa31e17dbd1884d4ab725 Description-en: GNU Ada compiler (documentation) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnat library provides runtime components needed by most applications produced with GNAT. . This package contains the documentation in info format. Package: gnat-14-for-build Description-md5: 66ec605ce8a00da3b6957457f873b44e Description-en: GNU Ada compiler for the build architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. . This is a dependency package. Package: gnat-14-for-host Description-md5: 26e4a341bb88e54a4842408bed0e44a5 Description-en: GNU Ada compiler for the host architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gnat-14-x86-64-linux-gnu Description-md5: 759fa47ec4a247c672dca154584fe221 Description-en: GNU Ada compiler for the x86_64-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-15 Description-md5: 8cebde0cd6b861927a7a457cacc8851f Description-en: GNU Ada compiler GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-15-doc Description-md5: 8139d6afb41aa31e17dbd1884d4ab725 Description-en: GNU Ada compiler (documentation) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnat library provides runtime components needed by most applications produced with GNAT. . This package contains the documentation in info format. Package: gnat-15-for-build Description-md5: 66ec605ce8a00da3b6957457f873b44e Description-en: GNU Ada compiler for the build architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. . This is a dependency package. Package: gnat-15-for-host Description-md5: 26e4a341bb88e54a4842408bed0e44a5 Description-en: GNU Ada compiler for the host architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gnat-15-x86-64-linux-gnu Description-md5: 759fa47ec4a247c672dca154584fe221 Description-en: GNU Ada compiler for the x86_64-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-doc Description-md5: f0afe4104f3bd76b0518f10234020c3a Description-en: Documentation for the GNU Ada compiler GNAT is a full-featured Ada 2012 compiler. A quote from http://www.adaic.org says: "Easily reused and maintained, readable and user friendly, Ada code facilitates such massive software projects as the Space Station and the Paris Metro. It has proven to be extraordinarily robust in decades' worth of daily field tests under the most rigorous conditions in which millions of lives have been at stake." Ada is the language for real-world, mission-critical programming. . At the same time, Ada's radical type safety helps novice programmers avoid many common mistakes and deliver their software on time (see http://www.adaic.org/atwork/trains.html). . This is an empty package that depends on the documentation package for the default Ada compiler for Debian, which is part of the GNU Compiler Collection. Package: gnat-mingw-w64 Description-md5: 1562dadaf2bdac519bb9c18b81e84dc7 Description-en: GNU Ada compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Ada compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: gnat-mingw-w64-i686 Description-md5: ebdcd25cfbe0ca4c6add299e73634239 Description-en: GNU Ada compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Ada compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gnat-mingw-w64-i686-posix Description-md5: d3d4f50a6680407f291d49171d48eaf4 Description-en: GNU Ada compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Ada compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: gnat-mingw-w64-i686-win32 Description-md5: ca2e7efc6aa8646c992d40a727079873 Description-en: GNU Ada compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Ada compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: gnat-mingw-w64-x86-64 Description-md5: 0328018315680f1cb26ea975661e7c0a Description-en: GNU Ada compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Ada compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gnat-mingw-w64-x86-64-posix Description-md5: c8efde02816ce5b6297ec4a53754a4c7 Description-en: GNU Ada compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Ada compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: gnat-mingw-w64-x86-64-win32 Description-md5: b51b502172020254cc2e28dc8bc50333 Description-en: GNU Ada compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Ada compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: gnustep-common Description-md5: 21e07eaabb93b22e8ae11a6c5c6069f3 Description-en: Common files for the core GNUstep environment This package contains the main GNUstep configuration file, common files, scripts and directory layout needed to run any GNUstep software. Package: gnustep-make Description-md5: 7310f8ab166503bcfee57a368b5ff34c Description-en: GNUstep build system This package contains the makefiles needed to compile any GNUstep software, and the GNUstep Test Framework used by GNUstep packages to build and run tests. Package: gnustep-make-doc Description-md5: 98bf098f69a7d90ca5639d83ded2753d Description-en: Documentation for GNUstep Make This package contains the GNUstep Make manual in Info, HTML and PDF format. Also included are several documents such as the GNUstep HOWTO, FAQ and explanation of the GNUstep filesystem which are useful to get familiar with the basic aspects of the GNUstep environment. Package: gnustep-multiarch Description-md5: 82e873d650253043aa67911e7577d758 Description-en: GNUstep Multi-Arch support This package contains the symlinks in the GNUstep System Library (/usr/lib/x86_64-linux-gnu/GNUstep) to their corresponding architecture-independent directories at /usr/share. Package: gobjc++-12 Description-md5: b9baa94c6e3efdfa23b7927008258616 Description-en: GNU Objective-C++ compiler This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-12-multilib Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7 Description-en: GNU Objective-C++ compiler (multilib support) This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gobjc++-13 Description-md5: b9baa94c6e3efdfa23b7927008258616 Description-en: GNU Objective-C++ compiler This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-13-for-build Description-md5: c4787a502b3ba4f5182d072f7a9b7dc4 Description-en: GNU Objective-C++ compiler for the build architecture This is the GNU Objective-C++ compiler for the build architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package. Package: gobjc++-13-for-host Description-md5: 6eb456849ad49181c17bb137b0c69f45 Description-en: GNU Objective-C++ compiler for the host architecture This is the GNU Objective-C++ compiler for the host architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gobjc++-13-multilib Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7 Description-en: GNU Objective-C++ compiler (multilib support) This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gobjc++-13-x86-64-linux-gnu Description-md5: d42533fdeebe4ead4bce7ea608fd980c Description-en: GNU Objective-C++ compiler for the x86_64-linux-gnu architecture This is the GNU Objective-C++ compiler for the x86_64-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-14 Description-md5: b9baa94c6e3efdfa23b7927008258616 Description-en: GNU Objective-C++ compiler This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-14-for-build Description-md5: c4787a502b3ba4f5182d072f7a9b7dc4 Description-en: GNU Objective-C++ compiler for the build architecture This is the GNU Objective-C++ compiler for the build architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package. Package: gobjc++-14-for-host Description-md5: 6eb456849ad49181c17bb137b0c69f45 Description-en: GNU Objective-C++ compiler for the host architecture This is the GNU Objective-C++ compiler for the host architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gobjc++-14-multilib Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7 Description-en: GNU Objective-C++ compiler (multilib support) This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gobjc++-14-x86-64-linux-gnu Description-md5: d42533fdeebe4ead4bce7ea608fd980c Description-en: GNU Objective-C++ compiler for the x86_64-linux-gnu architecture This is the GNU Objective-C++ compiler for the x86_64-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-15 Description-md5: b9baa94c6e3efdfa23b7927008258616 Description-en: GNU Objective-C++ compiler This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-15-for-build Description-md5: c4787a502b3ba4f5182d072f7a9b7dc4 Description-en: GNU Objective-C++ compiler for the build architecture This is the GNU Objective-C++ compiler for the build architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package. Package: gobjc++-15-for-host Description-md5: 6eb456849ad49181c17bb137b0c69f45 Description-en: GNU Objective-C++ compiler for the host architecture This is the GNU Objective-C++ compiler for the host architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gobjc++-15-multilib Description-md5: 4ebddb68adf8e3d2b7023dd3d5bac0b7 Description-en: GNU Objective-C++ compiler (multilib support) This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gobjc++-15-x86-64-linux-gnu Description-md5: d42533fdeebe4ead4bce7ea608fd980c Description-en: GNU Objective-C++ compiler for the x86_64-linux-gnu architecture This is the GNU Objective-C++ compiler for the x86_64-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-mingw-w64 Description-md5: dc7e3abeb026ad5da4a85f91fa070a74 Description-en: GNU Objective-C++ compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C++ compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: gobjc++-mingw-w64-i686 Description-md5: 0517df82def24a8915a4a2be58749172 Description-en: GNU Objective-C++ compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gobjc++-mingw-w64-i686-posix Description-md5: 6e63256af7f3fdead5ba0305c626eac5 Description-en: GNU Objective-C++ compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: gobjc++-mingw-w64-i686-win32 Description-md5: 0696c94a708736812e65ad9a40746273 Description-en: GNU Objective-C++ compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: gobjc++-mingw-w64-x86-64 Description-md5: bb20907e50d2659494026949f23b16cc Description-en: GNU Objective-C++ compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gobjc++-mingw-w64-x86-64-posix Description-md5: ebf58359e18f213c08d8b10411f94cf7 Description-en: GNU Objective-C++ compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: gobjc++-mingw-w64-x86-64-win32 Description-md5: 5551ceb3abf97239c8901e039dc560c8 Description-en: GNU Objective-C++ compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: gobjc-12 Description-md5: ef51de6b57526dc4a161944678606486 Description-en: GNU Objective-C compiler This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-12-multilib Description-md5: b79f5c8e5ac0b4d1089b9028a80813f3 Description-en: GNU Objective-C compiler (multilib support) This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gobjc-13 Description-md5: ef51de6b57526dc4a161944678606486 Description-en: GNU Objective-C compiler This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-13-for-build Description-md5: 00a45a638d9dea92abad9b24b56a66fc Description-en: GNU Objective-C compiler for the build architecture This is the GNU Objective-C compiler for the build architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package. Package: gobjc-13-for-host Description-md5: ec590acbac35f97ced34020023b140bc Description-en: GNU Objective-C compiler for the host architecture This is the GNU Objective-C compiler for the host architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gobjc-13-multilib Description-md5: b79f5c8e5ac0b4d1089b9028a80813f3 Description-en: GNU Objective-C compiler (multilib support) This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gobjc-13-x86-64-linux-gnu Description-md5: 422b34aab953e689456f69bc9fa19667 Description-en: GNU Objective-C compiler for the x86_64-linux-gnu architecture This is the GNU Objective-C compiler for the x86_64-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-14 Description-md5: ef51de6b57526dc4a161944678606486 Description-en: GNU Objective-C compiler This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-14-for-build Description-md5: 00a45a638d9dea92abad9b24b56a66fc Description-en: GNU Objective-C compiler for the build architecture This is the GNU Objective-C compiler for the build architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package. Package: gobjc-14-for-host Description-md5: ec590acbac35f97ced34020023b140bc Description-en: GNU Objective-C compiler for the host architecture This is the GNU Objective-C compiler for the host architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gobjc-14-multilib Description-md5: b79f5c8e5ac0b4d1089b9028a80813f3 Description-en: GNU Objective-C compiler (multilib support) This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gobjc-14-x86-64-linux-gnu Description-md5: 422b34aab953e689456f69bc9fa19667 Description-en: GNU Objective-C compiler for the x86_64-linux-gnu architecture This is the GNU Objective-C compiler for the x86_64-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-15 Description-md5: ef51de6b57526dc4a161944678606486 Description-en: GNU Objective-C compiler This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-15-for-build Description-md5: 00a45a638d9dea92abad9b24b56a66fc Description-en: GNU Objective-C compiler for the build architecture This is the GNU Objective-C compiler for the build architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package. Package: gobjc-15-for-host Description-md5: ec590acbac35f97ced34020023b140bc Description-en: GNU Objective-C compiler for the host architecture This is the GNU Objective-C compiler for the host architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . When using this package, tools must be invoked with an architecture prefix. . This is a dependency package. Package: gobjc-15-multilib Description-md5: b79f5c8e5ac0b4d1089b9028a80813f3 Description-en: GNU Objective-C compiler (multilib support) This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. . This is a dependency package, depending on development packages for the non-default multilib architecture(s). Package: gobjc-15-x86-64-linux-gnu Description-md5: 422b34aab953e689456f69bc9fa19667 Description-en: GNU Objective-C compiler for the x86_64-linux-gnu architecture This is the GNU Objective-C compiler for the x86_64-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-mingw-w64 Description-md5: 7fe2ecb47243cc07bea99018742991b0 Description-en: GNU Objective-C compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Package: gobjc-mingw-w64-i686 Description-md5: 172fe07dd1538747126285978c1061e8 Description-en: GNU Objective-C compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gobjc-mingw-w64-i686-posix Description-md5: 3be549903ba16400e761d50360f234a9 Description-en: GNU Objective-C compiler for MinGW-w64, Win32/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the POSIX threading model. Package: gobjc-mingw-w64-i686-win32 Description-md5: f53953ed988e77ca04a5e9a57d390e32 Description-en: GNU Objective-C compiler for MinGW-w64, Win32/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets, using the Win32 threading model. Package: gobjc-mingw-w64-x86-64 Description-md5: 11ea58d29c4f5d92c7dc744cf90b0af6 Description-en: GNU Objective-C compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gobjc-mingw-w64-x86-64-posix Description-md5: 9f75b5920ef296d65897332a582bfac6 Description-en: GNU Objective-C compiler for MinGW-w64, Win64/POSIX MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the POSIX threading model. Package: gobjc-mingw-w64-x86-64-win32 Description-md5: 4ea5963c05fed1a60c996954e71f2d0a Description-en: GNU Objective-C compiler for MinGW-w64, Win64/Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets, using the Win32 threading model. Package: gocryptfs Description-md5: 885f33609ec7ba845f3f61d4715db79f Description-en: Encrypted overlay filesystem written in Go gocryptfs is built on top of the excellent go-fuse (https://github.com/hanwen/go-fuse) FUSE library and its LoopbackFileSystem API. . This project was inspired by EncFS and strives to fix its security issues while providing good performance (benchmarks (https://nuetzlich.net/gocryptfs/comparison/#performance)). . For details on the security of gocryptfs see the Security (https://nuetzlich.net/gocryptfs/security/) design document. Package: golang-github-checkpoint-restore-checkpointctl-dev Description-md5: 62665a4e5713a7a531ff5c9833bc95ec Description-en: Tool to inspect Kubernetes and Podman checkpoints (library) Container engines like *Podman* and *CRI-O* have the ability to checkpoint a container. All data related to a checkpoint is collected in a checkpoint archive. . This library contains code to create and make use of checkpoint archives. Package: golang-github-checkpoint-restore-go-criu-dev Description-md5: 86e3fd7404b98b8e4c75fe9297e301e3 Description-en: CRIU bindings for Golang Golang bindings for CRIU. The code is based on the Golang-based PHaul implementation from the CRIU repository. . Golang bindings provide an easy way to use the CRIU RPC calls from Golang without the need to set up all the infrastructure to make the actual RPC connection to CRIU. Package: golang-github-containers-buildah-dev Description-md5: 926e9d028a50148d0515bf227f28b91b Description-en: tool to facilitate building OCI images The Buildah package provides a command line tool that can be used to - create a working container, either from scratch or using an image as a starting point - create an image, either from a working container or via the instructions in a Dockerfile - images can be built in either the OCI image format or the traditional upstream docker image format - mount a working container's root filesystem for manipulation - unmount a working container's root filesystem - use the updated contents of a container's root filesystem as a filesystem layer to create a new image - delete a working container or an image - rename a local container . This package contains golang sources that other package may require for building. Package: golang-github-containers-common Description-md5: f120088a791b8a74c2f9215b6732cafe Description-en: Common files for github.com/containers repositories This package contains common configuration files for use by other container projects. Package: golang-github-containers-common-dev Description-md5: b152d6217f58000fa23650831aeea340 Description-en: Common files for github.com/containers repositories (library) This package contains shared common files and common go code to manage those files in github.com/containers repos. Package: golang-github-containers-image Description-md5: 6994e26bbc30bef93f022bca529c3c0e Description-en: Configuration files and manpages for github.com/containers repositories This package contains documentation and configuration files for use by other container projects. Package: golang-github-containers-image-dev Description-md5: 43d69f69cfd668e8d0515620d3600bea Description-en: golang library to work with containers' images This library aims at working in various way with containers' images and container image registries and allows an application to pull and push images from container image registries, like the upstream docker registry. It also implements "simple image signing". Package: golang-github-containers-storage-dev Description-md5: 33c19defe0f75a3ad4a64ce898778b1f Description-en: Go library for handling how containers are stored on disk storage is a Go library which aims to provide methods for storing filesystem layers, container images, and containers. A containers-storage CLI wrapper is also included for manual and scripting use. . This package contains the library. Package: golang-github-klauspost-compress-dev Description-md5: 60c88612292cf08354b92b7b751f1fcf Description-en: optimized compression packages This package is based on an optimized Deflate function, which is used by gzip/zip/zlib packages. It offers slightly better compression at lower compression settings, and up to 3x faster encoding at highest compression level. Package: golang-github-prometheus-client-golang-dev Description-md5: 1554fe720b0324e6384563ff22eefa08 Description-en: Prometheus instrumentation library for Go applications This is the Prometheus Go client library. It provides two main functions: . * The exposition library is used to export metrics to a Prometheus server or pushgateway. . * The consumption library is used to process metrics exported by a Prometheus client. (The Prometheus server is using that library.) Package: golang-github-prometheus-community-pro-bing-dev Description-md5: 4047066c121764f5a4752cd724af1081 Description-en: library for creating continuous probers A simple but powerful ICMP echo (ping) library for Go, inspired by go-ping and go-fastping. Package: gourmand Description-md5: f1993cd7e57731540e2e71e07286e4b4 Description-en: Recipe organizer and shopping list generator Gourmet Recipe Manager is a gtk-based application to store, organize and search recipes. . Features: * Makes it easy to create shopping lists from recipes. * Imports recipes from a number of sources, including MealMaster and MasterCook archives and several popular websites. * Exports recipes as PDF files, plain text, MealMaster files, HTML web pages, and a custom XML format for exchange with other Gourmet users. * Supports linking images with recipes. * Can calculate nutritional information for recipes based on the ingredients. Package: gourmet Description-md5: be6a169e83fb5a14cbdf34432b3aaacd Description-en: transitionnal package to install gourmand This is a transitional dummy package, it can be safely removed. Package: gprbuild Description-md5: 90e6a9920fa4fd1fe7eb6c0e9471cf2a Description-en: multi-language extensible build tool A set of tools for processing GNAT project files: gprconfig detects available compilers, gprbuild runs them; gprslave helps distributing the build work across the network; gprinstall copies the objects to their final destination; gprclean removes them. The default configuration supports Ada, Assembler, C, C++, Fortran, and can be extended to support user source processing tools. Package: gprbuild-doc Description-md5: ce55a9d70218721427625dfec46bb041 Description-en: multi-language extensible build tool (documentation) A set of tools for processing GNAT project files: gprconfig detects available compilers, gprbuild runs them; gprslave helps distributing the build work across the network; gprinstall copies the objects to their final destination; gprclean removes them. The default configuration supports Ada, Assembler, C, C++, Fortran, and can be extended to support user source processing tools. . This package contains the documentation. Package: gshutdown Description-md5: ee97b4df0e39068e484578e7e1eb9f2d Description-en: Graphical shutdown utility and shutdown timer GShutdown is a utility which allows you to schedule the shutdown or restart of your computer. With it you can simply and quickly choose the turn off time at either a specific time or after a countdown. . The graphical user interface uses Gtk+2. Package: guile-fibers Description-md5: 380ebade345d1303117eda1718feee69 Description-en: Guile library with facility to provide Go-like concurrency Fibers is a facility that provides Go-like concurrency for Guile Scheme, in the tradition of Concurrent ML. . This package contains the Guile Fibers modules. Package: gvm Description-md5: 41cd0d79cdaa9ef0f2fc423820d6e7a6 Description-en: remote network security auditor - metapackage and useful scripts The Greenbone Vulnerability Manager is a modular security auditing tool, used for testing remote systems for vulnerabilities that should be fixed. . This package installs all the required packages. It provides scripts to setup, start and stop the GVM services. . The tool was previously named OpenVAS. Package: haskell-clash-ghc-utils Description-md5: 7b9ff2bcbc8416108aa6ff30110e45fb Description-en: Clash: functional hardware descr. language - GHC frontend Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * Clash Compiler binary using GHC/Haskell as a frontend . Prelude library: Package: hexagonrpcd Description-md5: 5074b86965d0099394d3b6303d3e534f Description-en: Daemon to communicate with Qualcomm DSPs Qualcomm processors include Digital Signal Processors (DSP) which can be accessed from Linux using the FastRPC protocol. This protocol is used to: * serve remote files to the DSPs * call functions to offload work to the DSPs . This package contains hexagonrpcd, a daemon used to communicate with the Context Hub Runtime Environment (a DSP program that manages sensors) using the FastRPC protocol. Package: ibacm Description-md5: 94afd2c241abf6569edf525ba236170f Description-en: InfiniBand Communication Manager Assistant (ACM) The IB ACM implements and provides a framework for name, address, and route (path) resolution services over InfiniBand. It is intended to address connection setup scalability issues running MPI applications on large clusters. The IB ACM provides information needed to establish a connection, but does not implement the CM protocol. A primary user of the ibacm service is the librdmacm library. Package: ibverbs-utils Description-md5: a33873b95d7b0cff0d895ec3f573b2fc Description-en: Examples for the libibverbs library libibverbs is a library that allows userspace processes to use RDMA "verbs" as described in the InfiniBand Architecture Specification and the RDMA Protocol Verbs Specification. iWARP ethernet NICs support RDMA over hardware-offloaded TCP/IP, while InfiniBand is a high-throughput, low-latency networking technology. InfiniBand host channel adapters (HCAs) and iWARP NICs commonly support direct hardware access from userspace (kernel bypass), and libibverbs supports this when available. . This package contains useful libibverbs1 example programs such as ibv_devinfo, which displays information about InfiniBand devices. Package: iitii Description-md5: f8f21c228a680792196979ade50ba626 Description-en: Implicit Interval Tree with Interpolation Index Iitii is a data structure for indexing begin/end position intervals, such as genomic feature annotations, and answering requests for all items overlapping a query interval. Building on cgranges by Heng Li, iitii explores ideas from DBMS interpolation search and learned index structures to speed up queries on large datasets. Package: infiniband-diags Description-md5: 7b1103d02d514ef168f0320a1ca158c2 Description-en: InfiniBand diagnostic programs InfiniBand is a switched fabric communications link used in high-performance computing and enterprise data centers. Its features include high throughput, low latency, quality of service and failover, and it is designed to be scalable. . This package provides diagnostic programs and scripts needed to diagnose an InfiniBand subnet. Package: isal Description-md5: 7f9035928ec89f5e08326038dda3a0d1 Description-en: Intel(R) Intelligent Storage Acceleration Library - tools Collection of low-level functions used in storage applications. Contains fast erasure codes that implement a general Reed-Solomon type encoding for blocks of data that helps protect against erasure of whole blocks. The general ISA-L library contains an expanded set of functions used for data protection, hashing, encryption, etc. . This package contains CLI tools. Package: isync Description-md5: 16c7d48e3209c22c00beb4a984df85c3 Description-en: IMAP and MailDir mailbox synchronizer mbsync/isync is a command line application which synchronizes mailboxes; currently Maildir and IMAP4 mailboxes are supported. New messages, message deletions and flag changes can be propagated both ways. isync is suitable for use in IMAP-disconnected mode. . Features: * Fine-grained selection of synchronization operations to perform * Synchronizes single mailboxes or entire mailbox collections * Partial mirrors possible: keep only the latest messages locally * Trash functionality: backup messages before removing them IMAP features: * Security: supports TLS/SSL via imaps: (port 993) and STARTTLS; CRAM-MD5 for authentication * Supports NAMESPACE for simplified configuration * Pipelining for maximum speed (currently only partially implemented) Package: jackd1 Description-md5: d236af7f48ea4bfa984ea4189cd1b635 Description-en: JACK Audio Connection Kit (server and example clients) JACK is a low-latency sound server, allowing multiple applications to connect to one audio device, and to share audio between themselves. . This package contains the daemon jackd as well as some example clients. Package: jackd1-firewire Description-md5: c3f26cc90d191e69646780df881bf7f5 Description-en: JACK Audio Connection Kit (FFADO backend) JACK is a low-latency sound server, allowing multiple applications to connect to one audio device, and to share audio between themselves. . This package contains the IEEE1394 (FireWire) backend (FFADO). Package: jemboss Description-md5: 4a8fbae4884c8d88f110332b42c9fc21 Description-en: graphical user interface to EMBOSS EMBOSS is a free Open Source software analysis package specially developed for the needs of the molecular biology (e.g. EMBnet) user community. The software automatically copes with data in a variety of formats and even allows transparent retrieval of sequence data from the web. Also, as extensive libraries are provided with the package, it is a platform to allow other scientists to develop and release software in true open source spirit. EMBOSS also integrates a range of currently available packages and tools for sequence analysis into a seamless whole. EMBOSS breaks the historical trend towards commercial software packages. . Jemboss is a Graphical User Interface (GUI) to EMBOSS, the European Molecular Biology Open Software Suite. It is part of the EMBOSS distribution. Package: jsonlint Description-md5: 3b0c786112d0eaadbbe65a5d8fd819d6 Description-en: validating parser of JSON data structures JSON (JavaScript Object Notation) is a lightweight data-interchange format. It is easy for humans to read and write. It is easy for machines to parse and generate. It is based on a subset of the JavaScript Programming Language. JSON is a text format that is completely language independent but uses conventions that are familiar to programmers of the C-family of languages. These properties make JSON an ideal data-interchange language. . This package provides jsonlint-php, a validating parser of JSON files. Another more complete JSON syntax validator and formatter tool is provided by the python-demjson package. Package: last-align Description-md5: 34c07e83cd3ffe3ca9aa9b81ea1ff067 Description-en: genome-scale comparison of biological sequences LAST is software for comparing and aligning sequences, typically DNA or protein sequences. LAST is similar to BLAST, but it copes better with very large amounts of sequence data. Here are two things LAST is good at: . * Comparing large (e.g. mammalian) genomes. * Mapping lots of sequence tags onto a genome. . The main technical innovation is that LAST finds initial matches based on their multiplicity, instead of using a fixed size (e.g. BLAST uses 10-mers). This allows one to map tags to genomes without repeat-masking, without becoming overwhelmed by repetitive hits. To find these variable-sized matches, it uses a suffix array (inspired by Vmatch). To achieve high sensitivity, it uses a discontiguous suffix array, analogous to spaced seeds. Package: lib32gcc-12-dev Description-md5: 50201ee0230693f6a9313820d5854393 Description-en: GCC support library (32 bit development files) This package contains the headers and static library files necessary for building C programs which use libgcc, libgomp, libquadmath, libssp or libitm. Package: lib32gcc-13-dev Description-md5: 50201ee0230693f6a9313820d5854393 Description-en: GCC support library (32 bit development files) This package contains the headers and static library files necessary for building C programs which use libgcc, libgomp, libquadmath, libssp or libitm. Package: lib32gfortran-12-dev Description-md5: 6b37f3090548ca719a28233db2276849 Description-en: Runtime library for GNU Fortran applications (32bit development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: lib32gfortran-13-dev Description-md5: 6b37f3090548ca719a28233db2276849 Description-en: Runtime library for GNU Fortran applications (32bit development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: lib32gfortran-15-dev Description-md5: 6b37f3090548ca719a28233db2276849 Description-en: Runtime library for GNU Fortran applications (32bit development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: lib32go-12-dev Description-md5: 0d206625198081e48dfdda7b339c3c73 Description-en: Runtime library for GNU Go applications (32bit development files) This package contains the headers and static library files needed to build GNU Go applications. Package: lib32go-13-dev Description-md5: 0d206625198081e48dfdda7b339c3c73 Description-en: Runtime library for GNU Go applications (32bit development files) This package contains the headers and static library files needed to build GNU Go applications. Package: lib32go21 Description-md5: 7174520aedfeba49e54b8c36f55b7e95 Description-en: Runtime library for GNU Go applications (32bit) Library needed for GNU Go applications linked against the shared library. Package: lib32go22 Description-md5: 7174520aedfeba49e54b8c36f55b7e95 Description-en: Runtime library for GNU Go applications (32bit) Library needed for GNU Go applications linked against the shared library. Package: lib32gphobos-12-dev Description-md5: b341eedcd8081d86dac015bda85ac8cc Description-en: Phobos D standard library (32bit development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib32gphobos-13-dev Description-md5: b341eedcd8081d86dac015bda85ac8cc Description-en: Phobos D standard library (32bit development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib32gphobos-14-dev Description-md5: b341eedcd8081d86dac015bda85ac8cc Description-en: Phobos D standard library (32bit development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib32gphobos-15-dev Description-md5: b341eedcd8081d86dac015bda85ac8cc Description-en: Phobos D standard library (32bit development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib32gphobos3 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib32gphobos4 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib32gphobos5 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib32gphobos6 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib32objc-12-dev Description-md5: 4563909f76273e1eff5ff297d7d93981 Description-en: Runtime library for GNU Objective-C applications (32bit development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: lib32objc-13-dev Description-md5: 4563909f76273e1eff5ff297d7d93981 Description-en: Runtime library for GNU Objective-C applications (32bit development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: lib32objc-14-dev Description-md5: 4563909f76273e1eff5ff297d7d93981 Description-en: Runtime library for GNU Objective-C applications (32bit development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: lib32objc-15-dev Description-md5: 4563909f76273e1eff5ff297d7d93981 Description-en: Runtime library for GNU Objective-C applications (32bit development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: lib32objc4 Description-md5: cdc9d0be22d98fa7275d3376afaddcc0 Description-en: Runtime library for GNU Objective-C applications (32bit) Library needed for GNU ObjC applications linked against the shared library. Package: lib32stdc++-12-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: lib32stdc++-13-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: lib32stdc++-15-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: lib32stdc++6-12-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: lib32stdc++6-13-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: lib32stdc++6-14-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: lib32stdc++6-15-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: libada-bar-codes-dev Description-md5: 968f38c80cd07926a2ab29f303f85eaf Description-en: Bar or QR code formatter for the Ada programming language (development) This Ada library generates various bar or QR codes, on different output formats such as PDF, SVG or bitmaps. . Install this package if you want to write Ada programs that use ada-bar-codes. Package: libada-bar-codes2 Description-md5: f0e2f591f18478d47e8731a301cc990d Description-en: Bar or QR code formatter for the Ada programming language (shared library) This Ada library generates various bar or QR codes, on different output formats such as PDF, SVG or bitmaps. . This package contains the runtime shared library. Package: libadasockets-dev Description-md5: bf5cbd0bbddd9e74701099b5d13df0f4 Description-en: bindings for socket services in Ada: development files This package provides a binding for socket services to be used with GNAT (the GNU Ada compiler). One can use it to write clients and servers that will talk with programs written in Ada or any other programming language. . This package provides the Ada programmer with headers and libraries used to build Ada programs that use sockets services. Package: libadasockets-doc Description-md5: e1d62ddb7abcf8efb4cf7fcf6744f1b7 Description-en: bindings for socket services in Ada: documentation This package provides a binding for socket services to be used with GNAT (the GNU Ada compiler). One can use it to write clients and servers that will talk with programs written in Ada or any other programming language. . This package contains the documentation for Ada programmers. Package: libadasockets11 Description-md5: 82d295d79b1cf377e57701508f32b17d Description-en: bindings for socket services in Ada: shared library This package provides a binding for socket services to be used with GNAT (the GNU Ada compiler). One can use it to write clients and servers that will talk with programs written in Ada or any other programming language. . This package contains the library needed to execute Ada program using sockets. Package: libahven-dev Description-md5: 6e54401a37b269c5decb82e27a009a12 Description-en: Unit test library for Ada (development) Ahven is a simple unit test library for the Ada 95 programming language. It is loosely modeled after JUnit, and some ideas are taken from AUnit. Ahven is free software distributed under permissive ISC license and should work with any Ada 95 compiler. . This package contains the development files. Package: libahven-doc Description-md5: 193597313d174082ab3a9c35889e6112 Description-en: Unit test library for Ada (documentation) Ahven is a simple unit test library for the Ada 95 programming language. It is loosely modeled after JUnit, and some ideas are taken from AUnit. Ahven is free software distributed under permissive ISC license and should work with any Ada 95 compiler. . This package contains the documentation. Package: libahven31 Description-md5: 794b018c01b3cffba9255f940e351fb0 Description-en: Unit test library for Ada Ahven is a simple unit test library for the Ada 95 programming language. It is loosely modeled after JUnit, and some ideas are taken from AUnit. Ahven is free software distributed under permissive ISC license and should work with any Ada 95 compiler. Package: libakonadinotes-dev Description-md5: eee620b27ee63eb96f6184bebfb8a4d9 Description-en: Akonadi notes access library - development files This library provides notes manipulation helpers using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. . This package contains the development files. Package: libaunit-dev Description-md5: dc5bc607a6863c9fa5e71802b4353b64 Description-en: AUnit, a unit testing framework for Ada: development AUnit is a set of Ada packages based on the xUnit family of unit test frameworks. It's intended as a developer's tool to facilitate confident writing and evolution of Ada software. It is purposely lightweight, as one of its main goals is to make it easy to develop and run unit tests, rather than to generate artifacts for process management. The framework supports easy composition of sets of unit tests to provide flexibility in determining what tests to run for a given purpose. . Install this package if you want to write programs that use AUnit. Package: libaunit-doc Description-md5: 3598ef271391790dbe5a6e1cb563c8d7 Description-en: AUnit, a unit testing framework for Ada: documentation AUnit is a set of Ada packages based on the xUnit family of unit test frameworks. It's intended as a developer's tool to facilitate confident writing and evolution of Ada software. It is purposely lightweight, as one of its main goals is to make it easy to develop and run unit tests, rather than to generate artifacts for process management. The framework supports easy composition of sets of unit tests to provide flexibility in determining what tests to run for a given purpose. . This package contains the manual and examples. Package: libaunit22 Description-md5: a2314707e390088b5e3de5ae4fe97204 Description-en: AUnit, a unit testing framework for Ada: shared library AUnit is a set of Ada packages based on the xUnit family of unit test frameworks. It's intended as a developer's tool to facilitate confident writing and evolution of Ada software. It is purposely lightweight, as one of its main goals is to make it easy to develop and run unit tests, rather than to generate artifacts for process management. The framework supports easy composition of sets of unit tests to provide flexibility in determining what tests to run for a given purpose. . This package contains the runtime shared library. Package: libbcmatroska2-5 Description-md5: a005013343ec979c0eafe018d1d4dd52 Description-en: Matroska library for mediastreamer2 (base) Bcmatroska2 is an implementation of the Matroska container format specifically tailored for use with mediastreamer2. It was forked from the Foundation Source of matroska.org in early 2014 and should be considered for internal use only. . This package contains the base library. Package: libbcmatroska2-dev Description-md5: 62d79a76c432b9faf02c628627887d23 Description-en: Development files for the bcmatroska2 library Bcmatroska2 is an implementation of the Matroska container format specifically tailored for use with mediastreamer2. It was forked from the Foundation Source of matroska.org in early 2014 and should be considered for internal use only. . This package contains the development files for the development library. Package: libbelcard-dev Description-md5: 5e0588101cca382bd85e1da986e8f7a5 Description-en: VCard standard format manipulation library (development headers) Belcard is a C++ library to manipulate VCard standard format. . The package is probably not useful outside the Belledonne Communications suite of libraries and programs. . This package contains the development headers. Package: libbelcard1 Description-md5: 9227dc75e88ed2df8f5d2e1f1c4ba702 Description-en: VCard standard format manipulation library Belcard is a C++ library to manipulate VCard standard format. . The package is probably not useful outside the Belledonne Communications suite of libraries and programs. . This package contains the shared library. Package: libbellesip-dev Description-md5: 37d5bbd16fbf264a57045f7b895cee12 Description-en: SIP stack from the Linphone team (development files) Belle-Sip is a new SIP stack (RFC3261) developed by the Linphone team. . Belle-Sip supports multiple transports at the same time, has a dual IPv6 and IPv4 stack, is fully asynchronous and implements the +sip.instance and alias parameters. It also handles network disconnections better, offers a privacy API and supports rich presence. . SIP/TLS is handled by mbed TLS (by way of bctoolbox), not OpenSSL. . This package contains the development files. Package: libbellesip3 Description-md5: 3f054b304f850f04c4f65923e96189d6 Description-en: SIP stack from the Linphone team Belle-Sip is a new SIP stack (RFC3261) developed by the Linphone team. . Belle-Sip supports multiple transports at the same time, has a dual IPv6 and IPv4 stack, is fully asynchronous and implements the +sip.instance and alias parameters. It also handles network disconnections better, offers a privacy API and supports rich presence. . SIP/TLS is handled by mbed TLS (by way of bctoolbox), not OpenSSL. Package: libbelr-dev Description-md5: 8eef305d11e42dd95ac1cfd7c42bc88e Description-en: language recognition library by Belledonne Communications (development headers) Belr is Belledonne Communications' language recognition library. It aims at parsing any input formatted according to a language defined by an ABNF grammar, such as the protocols standardized at IETF. . It is based on finite state machine theory and heavily relies on recursivity from an implementation standpoint. . The package is probably not useful outside the Belledonne Communications suite of libraries and programs. . This package contains the development headers. Package: libbelr1 Description-md5: 40849cbdf228736e76df7c2715e506fc Description-en: language recognition library by Belledonne Communications Belr is Belledonne Communications' language recognition library. It aims at parsing any input formatted according to a language defined by an ABNF grammar, such as the protocols standardized at IETF. . It is based on finite state machine theory and heavily relies on recursivity from an implementation standpoint. . The package is probably not useful outside the Belledonne Communications suite of libraries and programs. . This package contains the shared library. Package: libbrial-dev Description-md5: c2626fcf7fcb606f5ba4966ffc1b51a2 Description-en: polynomials over Boolean Rings, core development files The core of BRiAl is a C++ library, which provides high-level data types for Boolean polynomials and monomials, exponent vectors, as well as for the underlying polynomial rings and subsets of the powerset of the Boolean variables. As a unique approach, binary decision diagrams are used as internal storage type for polynomial structures. On top of this C++-library a Python interface is provided. This allows parsing of complex polynomial systems, as well as sophisticated and extendable strategies for Groebner base computation. BRiAl features a powerful reference implementation for Groebner basis computation. . This package contains the BRiAl core development files. Package: libbrial-groebner-dev Description-md5: 06b76f7f1667b2f0b3f088ab64c02c58 Description-en: development files for libbrial-groebner The core of BRiAl is a C++ library, which provides high-level data types for Boolean polynomials and monomials, exponent vectors, as well as for the underlying polynomial rings and subsets of the powerset of the Boolean variables. As a unique approach, binary decision diagrams are used as internal storage type for polynomial structures. On top of this C++-library a Python interface is provided. This allows parsing of complex polynomial systems, as well as sophisticated and extendable strategies for Groebner base computation. BRiAl features a powerful reference implementation for Groebner basis computation. . This package contains the development files for libbrial-groebner. Package: libbrial-groebner3t64 Description-md5: c85b9b23bc19cfbcd1c4f6095e22ba7e Description-en: computer algebra routines of BRiAl The core of BRiAl is a C++ library, which provides high-level data types for Boolean polynomials and monomials, exponent vectors, as well as for the underlying polynomial rings and subsets of the powerset of the Boolean variables. As a unique approach, binary decision diagrams are used as internal storage type for polynomial structures. On top of this C++-library a Python interface is provided. This allows parsing of complex polynomial systems, as well as sophisticated and extendable strategies for Groebner base computation. BRiAl features a powerful reference implementation for Groebner basis computation. . This package contains the BRiAl shared library libbrial_groebner. Package: libbrial3t64 Description-md5: 25d1c5e76291f633e89aa1da852af7cd Description-en: polynomials over Boolean Rings, shared library The core of BRiAl is a C++ library, which provides high-level data types for Boolean polynomials and monomials, exponent vectors, as well as for the underlying polynomial rings and subsets of the powerset of the Boolean variables. As a unique approach, binary decision diagrams are used as internal storage type for polynomial structures. On top of this C++-library a Python interface is provided. This allows parsing of complex polynomial systems, as well as sophisticated and extendable strategies for Groebner base computation. BRiAl features a powerful reference implementation for Groebner basis computation. . This package contains the BRiAl shared library libbrial. Package: libbtas-dev Description-md5: 592deca38d8fd0fce114f1c2cb68fcb4 Description-en: Basic Tensor Algebra Subroutines Library (development files) BTAS (Basic Tensor Algebra Subroutines) is a library for tensor algebra. It is a reference implementation of the Tensor Working Group concept spec. . This package contains the static libraries and the header files. Package: libbzrtp-dev Description-md5: 638a8d07389b34632ca2d93b91668de3 Description-en: Development files for the Bzrtp key exchange library Bzrtp is an open source implementation of the ZRTP key exchange protocol. The library is written in C and C++. It is fully portable and can be executed on many platforms including ARM and x86. . This package contains the development files. Package: libbzrtp1 Description-md5: ab28e420d09bff11ed8077d395b6c8f0 Description-en: Library for the ZRTP key exchange protocol Bzrtp is an open source implementation of the ZRTP key exchange protocol. The library is written in C and C++. It is fully portable and can be executed on many platforms including ARM and x86. . The library enables encrypted communications in Linphone. Package: libc-devtools Description-md5: 20d92638e9360ec19e7290046eb0d8f7 Description-en: GNU C Library: Development tools This package contains development tools shipped by the GNU C Library. . * memusage, memusagestat: profile a program's memory usage * mtrace: interpret the malloc trace log * sotruss: trace shared library calls * sprof: display shared object profiling data Package: libc6-dbg-amd64-cross Description-md5: 8e33deb1e3b96d190323ece33ca4e219 Description-en: GNU C Library: detached debugging symbols (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . This package contains the detached debugging symbols for the GNU C library. Package: libc6-dbg-arm64-cross Description-md5: 8e33deb1e3b96d190323ece33ca4e219 Description-en: GNU C Library: detached debugging symbols (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . This package contains the detached debugging symbols for the GNU C library. Package: libc6-dbg-armhf-cross Description-md5: 8e33deb1e3b96d190323ece33ca4e219 Description-en: GNU C Library: detached debugging symbols (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . This package contains the detached debugging symbols for the GNU C library. Package: libc6-dbg-i386-cross Description-md5: 8e33deb1e3b96d190323ece33ca4e219 Description-en: GNU C Library: detached debugging symbols (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . This package contains the detached debugging symbols for the GNU C library. Package: libc6-dbg-powerpc-cross Description-md5: 8e33deb1e3b96d190323ece33ca4e219 Description-en: GNU C Library: detached debugging symbols (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . This package contains the detached debugging symbols for the GNU C library. Package: libc6-dbg-ppc64el-cross Description-md5: 8e33deb1e3b96d190323ece33ca4e219 Description-en: GNU C Library: detached debugging symbols (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . This package contains the detached debugging symbols for the GNU C library. Package: libc6-dbg-riscv64-cross Description-md5: 8e33deb1e3b96d190323ece33ca4e219 Description-en: GNU C Library: detached debugging symbols (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . This package contains the detached debugging symbols for the GNU C library. Package: libc6-dbg-s390x-cross Description-md5: 8e33deb1e3b96d190323ece33ca4e219 Description-en: GNU C Library: detached debugging symbols (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . This package contains the detached debugging symbols for the GNU C library. Package: libc6-dev-i386-amd64-cross Description-md5: 6f806ee4e757d7383cd6757e6a169292 Description-en: GNU C Library: 32-bit development libraries for AMD64 (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . Contains the symlinks and object files needed to compile and link programs which use the standard C library. This is the 32bit version of the library, meant for AMD64 systems. Package: libc6-dev-x32-amd64-cross Description-md5: ce902e17792a1f6f55caa01d881d0b93 Description-en: GNU C Library: X32 ABI Development Libraries for AMD64 (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . Contains the symlinks and object files needed to compile and link programs which use the standard C library. This is the X32 ABI version of the library, meant for amd64 systems. Package: libc6-i386-amd64-cross Description-md5: 5c31c1b9d190705f988b3d90b31e65f7 Description-en: GNU C Library: 32-bit shared libraries for AMD64 (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . This package includes shared versions of the standard C library and the standard math library, as well as many others. This is the 32bit version of the library, meant for AMD64 systems. Package: libc6-x32-amd64-cross Description-md5: 5dce7768d6cba17025bfaf870f4a171a Description-en: GNU C Library: X32 ABI Shared libraries for AMD64 (for cross-compiling) This package was generated by dpkg-cross for cross compiling. . This package includes shared versions of the standard C library and the standard math library, as well as many others. This is the X32 ABI version of the library, meant for AMD64 systems. Package: libcamera-tools Description-md5: e8e03cab07c692583c964458600aaea2 Description-en: complex camera support library (tools) libcamera is a complex camera support library which handles low-level control of the camera devices, providing a unified higher-level programming interface to the applications. . This package provides cam and qcam, libcamera "Swiss army knife" tools. Package: libcctbx-dev Description-md5: 80927143262a36c2492844dcd04ad8bd Description-en: Computational Crystallography Toolbox - headers Computational Crystallography Toolbox contains following modules: - annlib_adaptbx: - boost_adaptbx: wrappers for Boost functionality in CCTBX - cbflib_adaptbx: - ccp4io_adaptbx: - cctbx: Libraries for general crystallographic applications, useful for both small-molecule and macro-molecular crystallography. - cma_es: - crys3d: Modules for the display of molecules, electron density, and reciprocal space data. - dxtbx: The Diffraction Image Toolbox, a library for handling X-ray detector data of arbitrary complexity from a variety of standard formats. - fable: Fortran EMulation library for porting Fortran77 to C++. - gltbx: Python bindings for OpenGL - iotbx: Working with common crystallographic file formats. - libtbx: The build system common to all other modules. This includes a very thin wrapper around the SCons software construction tool. It also contains many useful frameworks and utilities to simplify application development, including tools for regression testing, parallelization across multiprocessor systems and managed clusters, and a flexible, modular configuration syntax called PHIL (Python Hierarchial Interface Language) used throughout the CCTBX. - mmtbx: Functionality specific to macromolecular crystallography. This includes all of the machinery required for setup of geometry restraints, bulk solvent correction and scaling, analysis of macromolecular diffraction data, calculation of weighted map coefficients, and most of the methods implemented in phenix.refine. The majority of infrastructure for the MolProbity validation server (and Phenix equivalent) is also located here. - omptbx: OpenMP interface. - rstbx: A reciprocal space toolbox to autoindex small molecule Bragg diffraction, given the reciprocal space vectors. - scitbx: General scientific calculations. his includes a family of high-level C++ array types, a fast Fourier transform library, and a C++ port of the popular L-BFGS quasi-Newton minimizer. - smtbx: Small-Molecule crystallography. - spotfinder: - tbxx: - wxtbx: wxPython controls used in the Phenix GUI and various utilities . This package provide everythings needed to link against the cctbx libraries. Package: libcctbx0 Description-md5: 1f470ab3421adb53b943b6c9907def61 Description-en: Computational Crystallography Toolbox - runtime libraries Computational Crystallography Toolbox contains following modules: - annlib_adaptbx: - boost_adaptbx: wrappers for Boost functionality in CCTBX - cbflib_adaptbx: - ccp4io_adaptbx: - cctbx: Libraries for general crystallographic applications, useful for both small-molecule and macro-molecular crystallography. - cma_es: - crys3d: Modules for the display of molecules, electron density, and reciprocal space data. - dxtbx: The Diffraction Image Toolbox, a library for handling X-ray detector data of arbitrary complexity from a variety of standard formats. - fable: Fortran EMulation library for porting Fortran77 to C++. - gltbx: Python bindings for OpenGL - iotbx: Working with common crystallographic file formats. - libtbx: The build system common to all other modules. This includes a very thin wrapper around the SCons software construction tool. It also contains many useful frameworks and utilities to simplify application development, including tools for regression testing, parallelization across multiprocessor systems and managed clusters, and a flexible, modular configuration syntax called PHIL (Python Hierarchial Interface Language) used throughout the CCTBX. - mmtbx: Functionality specific to macromolecular crystallography. This includes all of the machinery required for setup of geometry restraints, bulk solvent correction and scaling, analysis of macromolecular diffraction data, calculation of weighted map coefficients, and most of the methods implemented in phenix.refine. The majority of infrastructure for the MolProbity validation server (and Phenix equivalent) is also located here. - omptbx: OpenMP interface. - rstbx: A reciprocal space toolbox to autoindex small molecule Bragg diffraction, given the reciprocal space vectors. - scitbx: General scientific calculations. his includes a family of high-level C++ array types, a fast Fourier transform library, and a C++ port of the popular L-BFGS quasi-Newton minimizer. - smtbx: Small-Molecule crystallography. - spotfinder: - tbxx: - wxtbx: wxPython controls used in the Phenix GUI and various utilities . This package provide the cctbx runtime libraries. Package: libck-dev Description-md5: 8e8ec05cd2e7cd3ab81fc0dda2ea574c Description-en: Concurrency Kit (development) The Concurrency Kit library implements concurrency primitives, safe memory reclamation mechanisms and non-blocking data structures for the research, design and implementation of high performance concurrent systems. . This package contains the development files. Package: libck0t64 Description-md5: d4833b2182ae45c4c0c5681e9e9140b9 Description-en: Concurrency Kit (library) The Concurrency Kit library implements concurrency primitives, safe memory reclamation mechanisms and non-blocking data structures for the research, design and implementation of high performance concurrent systems. . It features atomic operations, hardware transactional memory, memory barriers, hash tables, list, ring, stack, fifo, bitmap, safe memory reclamation, scalable locks, execution barriers, asymmetric synchronization and more. Package: libcoq-mathcomp-abel Description-md5: 3adc2407c3df0e5de782fe2f159ef52b Description-en: Abel-Galois and Abel-Ruffini theorems for Mathematical Components This package provides proofs of the Abel-Galois (solvability by radicals and solvability of the Galois group) and of the Abel-Ruffini theorem (general unsolvability of the quintic equations) using the Mathematical Components library. . The Mathematical Components library is a coherent repository of general-purpose formalized mathematical theories for the Coq proof assistant. Package: libcsirocsa0 Description-md5: a269767d31bc0994f7a17006d83bd80b Description-en: Scientific plotting library (CSIRO csa library) This package contains the bivariate Cubic Spline Approximation library developed by Pavel Sakov, from the CSIRO Marine Research. . The source code for these libraries are part of the plplot source package. Package: libcsironn0 Description-md5: 7fb74997c840a861f9afcfe0cb9ed5e9 Description-en: Scientific plotting library (CSIRO nn library) This package contains the Natural Neighbours interpolation library developed by Pavel Sakov, from the CSIRO Marine Research. . The source code for these libraries are part of the plplot source package. Package: libdbuskit-dev Description-md5: 4b277593c64df7a7b98f2e96eb3a4884 Description-en: GNUstep framework that interfaces with D-Bus (development files) The DBusKit framework offers tight integration of D-Bus services into Objective-C applications. It handles all low-level details of communication with D-Bus daemons and the services connected to them and exposes an interface similar to Distributed Objects to make it easy for Objective-C programmers to access D-Bus services. . Features: * Distributed Objects-like API for exchanging messages with D-Bus services. * A notification center class for watching signals emitted by D-Bus services. . This package contains the development files, API documentation, programming manual and the `dk_make_protocol' tool. Package: libdbuskit0 Description-md5: 5869e325ba660772d286def5e85c79fb Description-en: GNUstep framework that interfaces with D-Bus (runtime library) The DBusKit framework offers tight integration of D-Bus services into Objective-C applications. It handles all low-level details of communication with D-Bus daemons and the services connected to them and exposes an interface similar to Distributed Objects to make it easy for Objective-C programmers to access D-Bus services. . This package contains the runtime library. Package: libdevicexlib-dev Description-md5: c4c1b8c6a8b07dc1776fb34496cef7f0 Description-en: Library wrapping device-oriented routines and utilities The deviceXlib library wraps device-oriented routines and utilities. . This package contains the static library. Package: libdnnl-dev Description-md5: 76fea4cfd2eae41d6bfe9305c6ad6a1b Description-en: oneAPI Deep Neural Network Library (oneDNN) (dev) oneAPI Deep Neural Network Library (oneDNN) is an open-source performance library for deep learning applications. The library includes basic building blocks for neural networks optimized for Intel Architecture Processors and Intel Processor Graphics. . oneDNN is intended for deep learning applications and framework developers interested in improving application performance on Intel CPUs and GPUs. . This package contains the header files, and symbol links to the shared object. Package: libdnnl3.6 Description-md5: 290c0d566c3b296af024512e7a2a54ae Description-en: oneAPI Deep Neural Network Library (oneDNN) (lib) oneAPI Deep Neural Network Library (oneDNN) is an open-source performance library for deep learning applications. The library includes basic building blocks for neural networks optimized for Intel Architecture Processors and Intel Processor Graphics. . oneDNN is intended for deep learning applications and framework developers interested in improving application performance on Intel CPUs and GPUs. . This package contains the shared object. Package: libflorist-dev Description-md5: c0c3aa6c16195827380cd62d6bf92615 Description-en: POSIX.5 Ada interface to operating system services: development FLORIST is an implementation of the IEEE Standards 1003.5: 1992, IEEE STD 1003.5b: 1996, and parts of IEEE STD 1003.5c: 1998, also known as the POSIX Ada Bindings. Using this library, you can call operating system services from within Ada programs. . No one seems to maintain this library anymore. For new packages, please consider other solutions. . This package contains the development files and unstripped static library. Package: libflorist2021.1 Description-md5: 56c94236616c9f65599e68c122a838dd Description-en: POSIX.5 Ada interface to operating system services: shared library FLORIST is an implementation of the IEEE Standards 1003.5: 1992, IEEE STD 1003.5b: 1996, and parts of IEEE STD 1003.5c: 1998, also known as the POSIX Ada Bindings. Using this library, you can call operating system services from within Ada programs. . No one seems to maintain this library anymore. For new packages, please consider other solutions. . This package contains the run-time shared library. Package: libgarcon-1-0 Description-md5: ccef8bc40b886986c0af27faf580d445 Description-en: freedesktop.org compliant menu implementation for Xfce garcon is a menu implementation that is compliant with the Desktop Menu Specification of freedesktop.org . This package contains the core library and the GTK2 support library. Package: libgarcon-1-dev Description-md5: 47fc6c17a67006d56041ffe28624e815 Description-en: Development files for garcon-1 This package contains the headers and the static library for garcon-1. Package: libgarcon-common Description-md5: 88e7d9fc75824b1859206ba2be898feb Description-en: common files for libgarcon menu implementation garcon is a menu implementation that is compliant with the Desktop Menu Specification of freedesktop.org . This package contains common files like desktop-directories and menu file. Package: libgarcon-gtk3-1-0 Description-md5: 3efdbc3fb0422b71ae870d49fbd708d8 Description-en: menu library for Xfce (GTK3 library) garcon is a menu implementation that is compliant with the Desktop Menu Specification of freedesktop.org . This package contains the GTK-3 support library. Package: libgarcon-gtk3-1-dev Description-md5: 82e5020ee99ef6a556a7cd776485e413 Description-en: Development files for garcon-gtk3 This package contains the headers and the static library for garcon-gtk3 library, the GTK3 support library for the garcon menu library. Package: libgcc-12-dev Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac Description-en: GCC support library (development files) This package contains the headers and static library files necessary for building C programs which use libgcc, libgomp, libquadmath, libssp or libitm. Package: libgcc-13-dev Description-md5: 4ab7cb439b47e1d0796fbaf8447229ac Description-en: GCC support library (development files) This package contains the headers and static library files necessary for building C programs which use libgcc, libgomp, libquadmath, libssp or libitm. Package: libgccjit-12-dev Description-md5: edfbe45c4dd603bd5f9c67ae4ba8c494 Description-en: GCC just-in-time compilation (development files) libgccjit provides an embeddable shared library with an API for adding compilation to existing programs using GCC. Package: libgccjit-12-doc Description-md5: 83ae0019c7b0b4b05ff14e3fc173a180 Description-en: GCC just-in-time compilation (documentation) libgccjit provides an embeddable shared library with an API for adding compilation to existing programs using GCC. Package: libgccjit-13-dev Description-md5: edfbe45c4dd603bd5f9c67ae4ba8c494 Description-en: GCC just-in-time compilation (development files) libgccjit provides an embeddable shared library with an API for adding compilation to existing programs using GCC. Package: libgccjit-13-doc Description-md5: 83ae0019c7b0b4b05ff14e3fc173a180 Description-en: GCC just-in-time compilation (documentation) libgccjit provides an embeddable shared library with an API for adding compilation to existing programs using GCC. Package: libgccjit-14-dev Description-md5: edfbe45c4dd603bd5f9c67ae4ba8c494 Description-en: GCC just-in-time compilation (development files) libgccjit provides an embeddable shared library with an API for adding compilation to existing programs using GCC. Package: libgccjit-14-doc Description-md5: 83ae0019c7b0b4b05ff14e3fc173a180 Description-en: GCC just-in-time compilation (documentation) libgccjit provides an embeddable shared library with an API for adding compilation to existing programs using GCC. Package: libgccjit-15-dev Description-md5: edfbe45c4dd603bd5f9c67ae4ba8c494 Description-en: GCC just-in-time compilation (development files) libgccjit provides an embeddable shared library with an API for adding compilation to existing programs using GCC. Package: libgccjit-15-doc Description-md5: 83ae0019c7b0b4b05ff14e3fc173a180 Description-en: GCC just-in-time compilation (documentation) libgccjit provides an embeddable shared library with an API for adding compilation to existing programs using GCC. Package: libgccjit0 Description-md5: 78f861084806b36ce34263514298785e Description-en: GCC just-in-time compilation (shared library) libgccjit provides an embeddable shared library with an API for adding compilation to existing programs using GCC. Package: libgccjit15 Description-md5: 78f861084806b36ce34263514298785e Description-en: GCC just-in-time compilation (shared library) libgccjit provides an embeddable shared library with an API for adding compilation to existing programs using GCC. Package: libgcin Description-md5: 024d599fbcd6cbdcf869fed5c592ddf5 Description-en: library for gcin gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package contains library for gcin. Package: libgdk-pixbuf-xlib-2.0-0 Description-md5: ff4a54bdd102169fcecdeee6b5a5e344 Description-en: GDK Pixbuf library (deprecated Xlib integration) This package contains a deprecated library to render GdkPixbuf structures to X drawables using Xlib (libX11). . No newly written code should ever use this library. . If your existing code depends on gdk-pixbuf-xlib, then you're strongly encouraged to port away from it. Package: libgdk-pixbuf-xlib-2.0-dev Description-md5: 31c0ec9e89d3c5842049e497a3b887b9 Description-en: GDK Pixbuf library (development files) This package contains the header files which are needed for using GDK Pixbuf's deprecated Xlib integration. . No newly written code should ever use this library. . If your existing code depends on gdk-pixbuf-xlib, then you're strongly encouraged to port away from it. Package: libgfortran-12-dev Description-md5: 146f5739f84c8211389d84c7aa5bf949 Description-en: Runtime library for GNU Fortran applications (development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: libgfortran-13-dev Description-md5: 146f5739f84c8211389d84c7aa5bf949 Description-en: Runtime library for GNU Fortran applications (development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: libgfortran-15-dev Description-md5: 146f5739f84c8211389d84c7aa5bf949 Description-en: Runtime library for GNU Fortran applications (development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: libghc-clash-ghc-dev Description-md5: dedc3193efe84d3008c5ba90b9c0bb19 Description-en: Clash: functional hardware descr. language - GHC frontend Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * Clash Compiler binary using GHC/Haskell as a frontend . Prelude library: . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clash-ghc-doc Description-md5: e0bebbbb83777b65e2e7906d1acf84cc Description-en: Clash: functional hardware descr. language - GHC frontend; documentation Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * Clash Compiler binary using GHC/Haskell as a frontend . Prelude library: . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clash-ghc-prof Description-md5: 86034f447311bdf4c404826548eb4034 Description-en: Clash: functional hardware descr. language - GHC frontend; profiling libraries Clash is a functional hardware description language that borrows both its syntax and semantics from the functional programming language Haskell. The Clash compiler transforms these high-level descriptions to low-level synthesizable VHDL, Verilog, or SystemVerilog. . Features of Clash: . * Strongly typed, but with a very high degree of type inference, enabling both safe and fast prototyping using concise descriptions. . * Interactive REPL: load your designs in an interpreter and easily test all your component without needing to setup a test bench. . * Higher-order functions, with type inference, result in designs that are fully parametric by default. . * Synchronous sequential circuit design based on streams of values, called @Signal@s, lead to natural descriptions of feedback loops. . * Support for multiple clock domains, with type safe clock domain crossing. . This package provides: . * Clash Compiler binary using GHC/Haskell as a frontend . Prelude library: . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hopenpgp-dev Description-md5: bf86b4c9d4c073deb9a25ae5aaaa4f46 Description-en: implementation of OpenPGP (RFC4880) This is a native Haskell implementation of OpenPGP (RFC4880), including message format serialization and some cryptographic operations. . This package contains the normal library files. Package: libghc-hopenpgp-doc Description-md5: 486450539a8fba0bf0be4ef9181bf683 Description-en: implementation of OpenPGP (RFC4880); documentation This is a native Haskell implementation of OpenPGP (RFC4880), including message format serialization and some cryptographic operations. . This package contains the documentation files. Package: libghc-hopenpgp-prof Description-md5: 1048d14d217e07f8698c1f8a0bb262b5 Description-en: OpenPGP (RFC4880); profiling libraries This is a native Haskell implementation of OpenPGP (RFC4880), including message format serialization and some cryptographic operations. . This package contains the libraries compiled with profiling enabled. Package: libgm2-12-dev Description-md5: e3610e2377e77eddbfb5ac76ab6b4834 Description-en: GNU Modula-2 standard library This is the Modula-2 standard library that comes with the gm2 compiler. Package: libgm2-13-dev Description-md5: e3610e2377e77eddbfb5ac76ab6b4834 Description-en: GNU Modula-2 standard library This is the Modula-2 standard library that comes with the gm2 compiler. Package: libgm2-14-dev Description-md5: e3610e2377e77eddbfb5ac76ab6b4834 Description-en: GNU Modula-2 standard library This is the Modula-2 standard library that comes with the gm2 compiler. Package: libgm2-15-dev Description-md5: e3610e2377e77eddbfb5ac76ab6b4834 Description-en: GNU Modula-2 standard library This is the Modula-2 standard library that comes with the gm2 compiler. Package: libgm2-17 Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978 Description-en: GNU Modula-2 standard library (runtime library) This is the GNU Modula-2 standard library that comes with the gm2 compiler. Package: libgm2-18 Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978 Description-en: GNU Modula-2 standard library (runtime library) This is the GNU Modula-2 standard library that comes with the gm2 compiler. Package: libgm2-19 Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978 Description-en: GNU Modula-2 standard library (runtime library) This is the GNU Modula-2 standard library that comes with the gm2 compiler. Package: libgm2-20 Description-md5: 2b6f235369b3172b9f6a3f7d22bc9978 Description-en: GNU Modula-2 standard library (runtime library) This is the GNU Modula-2 standard library that comes with the gm2 compiler. Package: libgmpada-dev Description-md5: 8da58d210fa6380873b2251aa68ebd8e Description-en: Ada binding to the GNU MultiPrecision library: development GMPAda allows programmers to use the GNU MultiPrecision library within the Ada language: unbounded integers, rationals and floats. . Install this package if you want to write programs that use libgmpada. Package: libgmpada9 Description-md5: 7bea158d691018123ea67be2ac28d05b Description-en: Ada binding to the GNU MultiPrecision library: shared library GMPAda allows programmers to use the GNU MultiPrecision library within the Ada language: unbounded integers, rationals and floats. . This package contains the runtime shared library. Package: libgnat-12 Description-md5: 27cdab3b846cfe71a546e1fbdc98570c Description-en: runtime for applications compiled with GNAT (shared library) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnat library provides runtime components needed by most applications produced with GNAT. . This package contains the runtime shared library. Package: libgnat-13 Description-md5: 27cdab3b846cfe71a546e1fbdc98570c Description-en: runtime for applications compiled with GNAT (shared library) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnat library provides runtime components needed by most applications produced with GNAT. . This package contains the runtime shared library. Package: libgnat-14 Description-md5: 27cdab3b846cfe71a546e1fbdc98570c Description-en: runtime for applications compiled with GNAT (shared library) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnat library provides runtime components needed by most applications produced with GNAT. . This package contains the runtime shared library. Package: libgnat-15 Description-md5: 27cdab3b846cfe71a546e1fbdc98570c Description-en: runtime for applications compiled with GNAT (shared library) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnat library provides runtime components needed by most applications produced with GNAT. . This package contains the runtime shared library. Package: libgnatcoll-cpp-dev Description-md5: d7493e061e031604506219820430b977 Description-en: Ada binding to C++ standard library functions The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the static library and Ada specifications wrapping some functions of the C++ standard library. Package: libgnatcoll-cpp1 Description-md5: 8900862175fd06c39803a696df2c19eb Description-en: Ada binding to C++ standard library functions (runtime) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the runtime shared library wrapping some functions of the standard C++ library. Package: libgnatcoll-dev Description-md5: 8488ab8cd5a7f49ffd58bed9bf38d9ae Description-en: GNATColl, general purpose Ada library The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the static library and Ada specifications. Package: libgnatcoll-doc Description-md5: 26a398f3d961ac4a346672c87013b97e Description-en: GNATColl, general purpose Ada library (documentation) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the documentation in text, PDF and HTML. Package: libgnatcoll-gmp-dev Description-md5: fd21276af43fd168459e18c2876feb2e Description-en: Ada binding for GMP big numbers (development) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the static library and Ada specifications for a binding with the GNU Multiple Precision arithmetic C library. Package: libgnatcoll-gmp21 Description-md5: ab3b58bd85a9f9ad2bfae3c36ae476ae Description-en: Ada binding for GMP big numbers (runtime) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the runtime shared library for the GMP binding. Package: libgnatcoll-iconv-dev Description-md5: 383fd92ec6f9efe89289031d2a835824 Description-en: Ada binding for Iconv character encoding conversions (development) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the static library and Ada specifications for the binding with the Iconv character encoding conversion library. Package: libgnatcoll-iconv21 Description-md5: 0a7a230f1962fdc3ab5465992b66e8a1 Description-en: Ada binding for Iconv character encoding conversions (runtime) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the runtime shared library for the iconv binding. Package: libgnatcoll-lzma-dev Description-md5: bc2f0a626d4e52ee0340f6401d6d26db Description-en: Ada binding for LZMA compression (development) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the static library and Ada specifications for the binding with the lzma compression library. Package: libgnatcoll-lzma3 Description-md5: c686c71879cc3e0b0ad793166078de7d Description-en: Ada binding for LZMA compression (runtime) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the runtime shared library for the lzma binding. Package: libgnatcoll-omp-dev Description-md5: e7daf016c98cee3f4905ad6ec4b1f9a3 Description-en: Ada binding for OpenMP parallelism (development) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the static library and Ada specifications for the binding with the OpenMP parallelism library. Package: libgnatcoll-omp2 Description-md5: 7733d764756d8fb872b8a5e2d1d96b52 Description-en: Ada binding for OpenMP parallelism (runtime) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the runtime shared library for the OpenMP binding. Package: libgnatcoll-python3-2 Description-md5: 34705a6ed4aeef362c62f8a41e4888aa Description-en: Ada binding to the Python3 language (runtime) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the runtime shared library for Python scripting. Package: libgnatcoll-python3-dev Description-md5: 15fad4fde65773c0b409e1e9a4ef7387 Description-en: Ada binding to the Python3 language (development) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the static library and Ada specifications adding Python as scripting language. Package: libgnatcoll-readline-dev Description-md5: 69b7c2715b9be422d686dd128f7b432b Description-en: Ada binding for ReadLine input history (development) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the static library and Ada specifications for the binding with GNU readline and history libraries. Package: libgnatcoll-readline21 Description-md5: 31f25532cbd52e64c344e337e8ee32de Description-en: Ada binding for ReadLine input history (runtime) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the runtime shared library for the readline binding. Package: libgnatcoll-syslog-dev Description-md5: a9a845ef4a74b38d3296883f2734ce61 Description-en: Ada binding for syslog journals (development) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the static library and Ada specifications for an interface to the syslog journal manager daemon. Package: libgnatcoll-syslog4 Description-md5: 67e8819b81e7cef88323db3af15c6699 Description-en: Ada binding for syslog journals (runtime) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the runtime shared library for the syslog binding. Package: libgnatcoll-zlib-dev Description-md5: 74291b0279cd30e7d74307ebaab09c6f Description-en: Ada binding for Zlib compression (development) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the static library and Ada specifications for the binding with the zlib compression library. Package: libgnatcoll-zlib3 Description-md5: 65be9ad67af994172cba80909026d258 Description-en: Ada binding for Zlib compression (runtime) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the runtime shared library for the zlib binding. Package: libgnatcoll22 Description-md5: b3d5d31a6df778005925d6d25de9b5df Description-en: GNATColl, general purpose Ada library (runtime) The GNAT Component Collection deals with: module tracing, efficient file IO, static string searching (Boyer-Moore), e-mails and mailboxes, Ravenscar tasking profiles, storage pools, JSON, logging, shell scripting. Components relying on external dependencies are distributed in separate packages. . This package contains the runtime shared library. Package: libgnatprj-dev Description-md5: 0312c686dd6f58d9fd3e8c1000d21285 Description-en: support for programs processing GNAT projects (development) GNAT projects are human-readable text files used to drive tools building or inspecting lots of source files in several programming languages, like those provided by the gprbuild package. . This package contains the development tools. Package: libgnatprj12 Description-md5: 9991f16dad10eeea3a1ef31ba5dc237d Description-en: support for programs processing GNAT projects (runtime) GNAT projects are human-readable text files used to drive tools building or inspecting lots of source files in several programming languages, like those provided by the gprbuild package. . This package contains the runtime shared library. Package: libgo-12-dev Description-md5: 20a30e1e0e326264783897d4692e3ada Description-en: Runtime library for GNU Go applications (development files) This package contains the headers and static library files needed to build GNU Go applications. Package: libgo-13-dev Description-md5: 20a30e1e0e326264783897d4692e3ada Description-en: Runtime library for GNU Go applications (development files) This package contains the headers and static library files needed to build GNU Go applications. Package: libgo21 Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c Description-en: Runtime library for GNU Go applications Library needed for GNU Go applications linked against the shared library. Package: libgo22 Description-md5: 9dac71a6885be90d89c8d4e0ae4b9f2c Description-en: Runtime library for GNU Go applications Library needed for GNU Go applications linked against the shared library. Package: libgomp-plugin-amdgcn1 Description-md5: b4d23ce73f3592d1c5e0dc4540aca4d1 Description-en: GCC OpenMP v4.5 plugin for offloading to GCN This package contains libgomp plugin for offloading to AMD GCN. Package: libgomp-plugin-nvptx1 Description-md5: f9c2e1e8b9ed7ce64aa263f868e92b29 Description-en: GCC OpenMP v4.5 plugin for offloading to NVPTX This package contains libgomp plugin for offloading to NVidia PTX. The plugin needs libcuda.so.1 shared library that has to be installed separately. Package: libgphobos-12-dev Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd Description-en: Phobos D standard library This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libgphobos-13-dev Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd Description-en: Phobos D standard library This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libgphobos-14-dev Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd Description-en: Phobos D standard library This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libgphobos-15-dev Description-md5: 319ab24aa69f1d17bbae7ba6a543ecfd Description-en: Phobos D standard library This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libgphobos3 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libgphobos4 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libgphobos5 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libgphobos6 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libgrpc++-dev Description-md5: a4382e4e7253dbfb431a55f908113213 Description-en: high performance general RPC framework (development) A modern, open source remote procedure call (RPC) framework that can run anywhere. It enables client and server applications to communicate transparently, and makes it easier to build connected systems. . This package contains the headers and the static library for libgrpc++1.51t64 . Package: libgrpc++1.51t64 Description-md5: 3f857bea859931b8956ff06b364de1b7 Description-en: high performance general RPC framework A modern, open source remote procedure call (RPC) framework that can run anywhere. It enables client and server applications to communicate transparently, and makes it easier to build connected systems. . This package provides the gRPC C++ bindings. Package: libgrpc-dev Description-md5: 9c4049f71b5b0eaa9e063543d044716d Description-en: high performance general RPC framework (development) A modern, open source remote procedure call (RPC) framework that can run anywhere. It enables client and server applications to communicate transparently, and makes it easier to build connected systems. . This package contains the headers and the static library for libgrpc29t64 . Package: libgrpc29t64 Description-md5: 4e6400512fbad389afeef58d717465fd Description-en: high performance general RPC framework A modern, open source remote procedure call (RPC) framework that can run anywhere. It enables client and server applications to communicate transparently, and makes it easier to build connected systems. . This package provides the gRPC C bindings. Package: libgstreamerd-3-0 Description-md5: ebaa56cc60adf277d67644666f0a807f Description-en: GStreamer media framework - D bindings GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. . This package contains runtime files needed for applications written in D. Package: libgstreamerd-3-dev Description-md5: 02a65ea459abf054c99fa87e19d963ed Description-en: GStreamer media framework - development files for D GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. . This package contains development files needed to write applications in the D programming language that use GStreamer. Package: libgtkd-3-0 Description-md5: f169214d10fb9c994d38a421a94f2c85 Description-en: GTK+ graphical user interface library - D bindings GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains runtime files needed for applications written in D. Package: libgtkd-3-dev Description-md5: 2012c05d423c4d29d8fcdfed1feb3a31 Description-en: GTK+ graphical user interface library - development files for D GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains development files needed to write applications in the D programming language that use GTK+. Package: libgtkdsv-3-0 Description-md5: b356f4f480de27be893b8c3cecfe2574 Description-en: GTK+ syntax highlighting widget - D bindings GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. . This package contains runtime files needed for applications written in D. Package: libgtkdsv-3-dev Description-md5: b467b148d1d43d4b64a32840f39e38e0 Description-en: GTK+ syntax highlighting widget - development files for D GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. . This package contains development files needed to write applications in the D programming language that use GtkSourceView. Package: libhexagonrpc-dev Description-md5: 0fc7a2576766e4fa997ae383e54ff3e6 Description-en: Implementation of the FastRPC procotol -- development files Qualcomm processors include Digital Signal Processors (DSP) which can be accessed from Linux using the FastRPC protocol. This protocol is used to: * serve remote files to the DSPs * call functions to offload work to the DSPs . This package contains the development files for libhexagonrpc, a library implementing the FastRPC protocol. Package: libhexagonrpc0.3 Description-md5: 946cff0fce38f62063ec44c3d8efe460 Description-en: Implementation of the FastRPC procotol -- shared library Qualcomm processors include Digital Signal Processors (DSP) which can be accessed from Linux using the FastRPC protocol. This protocol is used to: * serve remote files to the DSPs * call functions to offload work to the DSPs . This package contains the shared library implementing the FastRPC protocol. Package: libhsa-runtime-dev Description-md5: a43df1a7a825b65ac5f03fb39ff420b1 Description-en: HSA Runtime API and runtime for ROCm - development files This library provides user-mode API interfaces necessary for host applications to launch compute kernels to available HSA ROCm kernel agents. . This package contains the development headers. Package: libhsa-runtime64-1 Description-md5: 3b6dc07958d486a817a5f2ac17827c4a Description-en: HSA Runtime API and runtime for ROCm This library provides user-mode API interfaces necessary for host applications to launch compute kernels to available HSA ROCm kernel agents. Package: libhsakmt-dev Description-md5: 7206aef6339b557669fd61b2c4ccb72f Description-en: Thunk library for AMD KFD (dev) This package includes the user-mode API interfaces used to interact with the ROCk driver. . This package contains the development files. Package: libhsakmt1 Description-md5: cad20c7a0db349265356ab5bbc60e4cf Description-en: Thunk library for AMD KFD (shlib) This package includes the user-mode API interfaces used to interact with the ROCk driver. . This package contains the shared object. Package: libiitii-dev Description-md5: fce9786d88455289fadb8d51189d3643 Description-en: Implicit Interval Tree with Interpolation Index (devel) Iitii is a data structure for indexing begin/end position intervals, such as genomic feature annotations, and answering requests for all items overlapping a query interval. Building on cgranges by Heng Li, iitii explores ideas from DBMS interpolation search and learned index structures to speed up queries on large datasets. . This package contains the header files for development. Package: libisal-dev Description-md5: 874548205a402b15945db5a8d79b55a2 Description-en: Intel(R) Intelligent Storage Acceleration Library - devel files Collection of low-level functions used in storage applications. Contains fast erasure codes that implement a general Reed-Solomon type encoding for blocks of data that helps protect against erasure of whole blocks. The general ISA-L library contains an expanded set of functions used for data protection, hashing, encryption, etc. . This package contains the development files needed to build against the shared library. Package: libisal2 Description-md5: db59909f70c412a803a10087d9506bd6 Description-en: Intel(R) Intelligent Storage Acceleration Library - shared library Collection of low-level functions used in storage applications. Contains fast erasure codes that implement a general Reed-Solomon type encoding for blocks of data that helps protect against erasure of whole blocks. The general ISA-L library contains an expanded set of functions used for data protection, hashing, encryption, etc. . This package contains the shared library. Package: libjack-dev Description-md5: 5769772c9db90298e719eeef115bed03 Description-en: JACK Audio Connection Kit (development files) JACK is a low-latency sound server, allowing multiple applications to connect to one audio device, and to share audio between themselves. . This package contains files needed for the development of JACK applications and an API reference. Package: libjack0 Description-md5: f4743a9e2f04ed05673483cd1fe0eb38 Description-en: JACK Audio Connection Kit (libraries) JACK is a low-latency sound server, allowing multiple applications to connect to one audio device, and to share audio between themselves. . This package contains the shared libraries. Package: libkate-dev Description-md5: ea7955d0abccb5259d206e2cfde3252c Description-en: Codec for karaoke and text encapsulation (dev) Kate is meant to be used for karaoke alongside audio/video streams (typically Vorbis and Theora), movie subtitles, song lyrics, and anything that needs text data at arbitrary time intervals. . libkate provides an API for the encoding and decoding of kate files. This package contains the development libraries. Package: libkate-tools Description-md5: c771130173d36e2f7c329fd922edcb38 Description-en: Utilities for mangling kate Ogg files Kate is meant to be used for karaoke alongside audio/video streams (typically Vorbis and Theora), movie subtitles, song lyrics, and anything that needs text data at arbitrary time intervals. . This package contains some utilities useful for debugging and tweaking Kate files, using libkate. Package: libkate1 Description-md5: 39ec13ea5c59b0ac8acc76b3db8228c2 Description-en: Codec for karaoke and text encapsulation Kate is meant to be used for karaoke alongside audio/video streams (typically Vorbis and Theora), movie subtitles, song lyrics, and anything that needs text data at arbitrary time intervals. . libkate provides an API for the encoding and decoding of kate files. Package: libkpim6akonadinotes6 Description-md5: 8c6e61d76502884be9087f95fed497e3 Description-en: Akonadi notes access library This library provides notes manipulation helpers using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: liblinphone++12 Description-md5: 98ebc1ec9987a78a224e1481495764a3 Description-en: Linphone's shared library part (supporting the SIP protocol) Linphone is an audio and video internet phone using the SIP protocol. It has a GTK+ and console interface, includes a large variety of audio and video codecs, and provides IM features. . This package contains the C++ shared runtime libraries. Package: liblinphone-dev Description-md5: 54e56d8d20231f9cba88fa0cb632f312 Description-en: Linphone web phone's library - development files Linphone is an audio and video internet phone using the SIP protocol. It has a GTK+ and console interface, includes a large variety of audio and video codecs, and provides IM features. . This package contains the files needed to use the linphone's library in your programs. Package: liblinphone12 Description-md5: 695832ba12a16225ea2d4aef9024cbaf Description-en: Linphone's shared library part (supporting the SIP protocol) Linphone is an audio and video internet phone using the SIP protocol. It has a GTK+ and console interface, includes a large variety of audio and video codecs, and provides IM features. . This package contains the shared runtime libraries. Package: liblog4ada-dev Description-md5: 8c829e18b725e895cb418ff99e9ad41a Description-en: Ada library for flexible logging (development) Log4Ada is a library that eases logging in applications written in Ada. It is modelled after, and compatible with, log4j. For more information about the logging scheme developed in this library see the log4j project : http://logging.apache.org/log4j/docs/ . This package contains file needed to develop applications with Log4Ada. Package: liblog4ada-doc Description-md5: 7480bfd3ceb100e596b4c192159610ee Description-en: Ada library for flexible logging (documentation) Log4Ada is a library that eases logging in applications written in Ada. It is modelled after, and compatible with, log4j. For more information about the logging scheme developed in this library see the log4j project : http://logging.apache.org/log4j/docs/ . This package contains a pdf documentation file and some examples. Package: liblog4ada7 Description-md5: 024a18463f252bc5a9e4a8fc0d66ca51 Description-en: Ada library for flexible logging (runtime) Log4Ada is a library that eases logging in applications written in Ada. It is modelled after, and compatible with, log4j. For more information about the logging scheme developed in this library see the log4j project : http://logging.apache.org/log4j/docs/ . This is the runtime library for Log4Ada. Package: libmaus2-2 Description-md5: 7b52f6dbc57ca0d2e08c74363898d9fd Description-en: collection of data structures and algorithms for biobambam Libmaus2 is a collection of data structures and algorithms. It contains . * I/O classes (single byte and UTF-8) * bitio classes (input, output and various forms of bit level manipulation) * text indexing classes (suffix and LCP array, fulltext and minute (FM), ...) * BAM sequence alignment files input/output (simple and collating) . and many lower level support classes. Package: libmaus2-dev Description-md5: 5985b8355d85114b571b6940b393017d Description-en: collection of data structures and algorithms for biobambam (devel) Libmaus2 is a collection of data structures and algorithms. It contains . * I/O classes (single byte and UTF-8) * bitio classes (input, output and various forms of bit level manipulation) * text indexing classes (suffix and LCP array, fulltext and minute (FM), ...) * BAM sequence alignment files input/output (simple and collating) . and many lower level support classes. . This package contains header files and static libraries. Package: libmediastreamer-data Description-md5: e6decf8ce97434d7181ee0fb5be2cec0 Description-en: Voice and video streaming engine for telephony (data files) Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains architecture-independent data files. Package: libmediastreamer-dev Description-md5: 70a6dd6f4bb604660ad7eb871f205f9f Description-en: Development files for the mediastreamer2 library Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains the development files for the development library. Package: libmediastreamer-doc Description-md5: f09489e7ba5c572e8c07c668520c004b Description-en: Voice and video streaming engine for telephony (documentation) Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains the developer documentation. Package: libmediastreamer-tools Description-md5: 6db78e6b9ba70708c3f3ad198d157aef Description-en: Voice and video streaming engine for telephony (programs) Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains some programs using the library. Package: libmediastreamer2-14 Description-md5: 6950a75e17c619fb9e02b5860d73831d Description-en: Voice and video streaming engine for telephony (base) Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains the base library. Package: libmeep-dev Description-md5: dedac53a17bfec0a01c3a5f2a3afeec9 Description-en: development library for using meep Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains some files for developing software. Package: libmeep-mpi-default-dev Description-md5: 42127b3fc82eb5c718211a1d0ce39716 Description-en: development library for using parallel version of meep Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains some files for developing software. Package: libmeep-mpi-default33 Description-md5: affd7fba383c4a57f50687bf9c8ff972 Description-en: library for using parallel version of meep Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains libraries for the OpenMPI version on 64bit architectures and for the MPICH version on 32bit architectures. Package: libmeep33 Description-md5: cdb0c5171d5203cc457d88e8ce3274bb Description-en: library for using meep Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains the library. Package: libmfsio-dev Description-md5: 4a526cc84b0b27954fc8294e0fb1014a Description-en: MooseFS IO library - development files This package contains the development files used for building applications that use the MooseFS IO Library. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: libmfsio1t64 Description-md5: bed661dc7d47f115fc0806fd5b332860 Description-en: MooseFS IO library MooseFS client IO library. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: libmirserver-dev Description-md5: 5df494748c81b14deddb055b2b3bb810 Description-en: Mir Display Server - server library - development headers Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains header files required to build Mir servers. Package: libncursesada-dev Description-md5: b7b81e20d8d590811609a370bf137b06 Description-en: Ada binding to the ncurses text interface library: development NcursesAda allows programmers to use the Ncurses library within the Ada language. This means programming panels, colors, forms in text terminals or emulators. . Install this package if you want to write programs that use libncursesada. Package: libncursesada6.2.4 Description-md5: 7721b23d6dfacd75830af0270b9e3d62 Description-en: Ada binding to the ncurses text interface library: shared library NcursesAda allows programmers to use the Ncurses library within the Ada language. This means programming panels, colors, forms in text terminals or emulators. . This package contains the runtime shared library. Package: libnss-extrausers Description-md5: ddb09d6e0234d21eb8b08b919f49ef5b Description-en: nss module to have an additional passwd, shadow and group file This Name Service Switch (NSS) module reads /var/lib/extrausers/passwd, /var/lib/extrausers/shadow and /var/lib/extrausers/groups, allowing to store system accounts and accounts copied from other systems in different files. Package: libnss-myhostname Description-md5: 0980255dcdd179a9442647b0c4bdcb7c Description-en: nss module providing fallback resolution for the current hostname This package contains a plugin for the Name Service Switch, providing host name resolution for the locally configured system hostname as returned by gethostname(2). It returns all locally configured public IP addresses or -- if none are configured, the IPv4 address 127.0.0.2 (which is on the local loopback) and the IPv6 address ::1 (which is the local host). . A lot of software relies on that the local host name is resolvable. This package provides an alternative to the fragile and error-prone manual editing of /etc/hosts. . Installing this package automatically adds myhostname to /etc/nsswitch.conf. Package: libnss-resolve Description-md5: 2dce7349d41cde95481f217d1250a7a1 Description-en: nss module to resolve names via systemd-resolved nss-resolve is a plugin for the GNU Name Service Switch (NSS) functionality of the GNU C Library (glibc) providing DNS and LLMNR resolution to programs via the systemd-resolved daemon (provided in the systemd-resolved package). . Installing this package automatically adds resolve to /etc/nsswitch.conf. Package: libobjc-12-dev Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d Description-en: Runtime library for GNU Objective-C applications (development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: libobjc-13-dev Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d Description-en: Runtime library for GNU Objective-C applications (development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: libobjc-14-dev Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d Description-en: Runtime library for GNU Objective-C applications (development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: libobjc-15-dev Description-md5: 7ff3333ac4ef3f78ec469fae5e05bf5d Description-en: Runtime library for GNU Objective-C applications (development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: libobjc4 Description-md5: 30a0a695b3f5d643272b0bb547f0578c Description-en: Runtime library for GNU Objective-C applications Library needed for GNU ObjC applications linked against the shared library. Package: libobjcryst-dev Description-md5: 2c5dabbd4ef3f246ad9fe3f19b327f97 Description-en: Object-Oriented Crystallographic Library for C++ (headers) libobjcryst expands the ObjCryst++ source to make it easier to use as a system shared lirbary but does not include GUI related files from ObjCryst++. . This package contains the developer headers. Package: libobjcryst0 Description-md5: 4a81a813b9e68be4849cff61d9d70c3b Description-en: Object-Oriented Crystallographic Library for C++ libobjcryst expands the ObjCryst++ source to make it easier to use as a system shared lirbary but does not include GUI related files from ObjCryst++. . This package contains the shared library. Package: liboggkate-dev Description-md5: 42fbab643f09b4832020479a1b182cfe Description-en: Codec for karaoke and text encapsulation for Ogg (dev) Kate is meant to be used for karaoke alongside audio/video streams (typically Vorbis and Theora), movie subtitles, song lyrics, and anything that needs text data at arbitrary time intervals. . liboggkate provides an API for the encapsulation of kate streams into Ogg. This package contains the development libraries. Package: liboggkate1 Description-md5: 23431caff7d267e36d535589d0523848 Description-en: Codec for karaoke and text encapsulation for Ogg Kate is meant to be used for karaoke alongside audio/video streams (typically Vorbis and Theora), movie subtitles, song lyrics, and anything that needs text data at arbitrary time intervals. . liboggkate provides an API for the encapsulation of kate streams into Ogg. Package: libonnxruntime-dev Description-md5: d144454e52fb87ef69f028500671d13a Description-en: cross-platform inference and training ML accelerator (dev files) ONNX Runtime is a performance-focused complete scoring engine for Open Neural Network Exchange (ONNX) models, with an open extensible architecture to continually address the latest developments in AI and Deep Learning. ONNX Runtime stays up to date with the ONNX standard with complete implementation of all ONNX operators, and supports all ONNX releases (1.2+) with both future and backwards compatibility. Please refer to this page for ONNX opset compatibility details. . This package provides the development files for libonnxruntime. Package: libonnxruntime1.21 Description-md5: 552d4cb5b759776d4c1e450cf6be2235 Description-en: cross-platform inference and training ML accelerator (shared lib) ONNX Runtime is a performance-focused complete scoring engine for Open Neural Network Exchange (ONNX) models, with an open extensible architecture to continually address the latest developments in AI and Deep Learning. ONNX Runtime stays up to date with the ONNX standard with complete implementation of all ONNX operators, and supports all ONNX releases (1.2+) with both future and backwards compatibility. Please refer to this page for ONNX opset compatibility details. . This package provides the shared library. Package: libopencv-calib3d-dev Description-md5: c555b19d1c732957aca4a27481b20185 Description-en: development files for libopencv-calib3d410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) Camera Calibration library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-calib3d410 Description-md5: 90b6483109ee9ac13cb0398eafec9088 Description-en: computer vision Camera Calibration library This package contains the OpenCV (Open Computer Vision) Camera Calibration runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-contrib-dev Description-md5: 94496a9831645cf402667d65bdfdd422 Description-en: development files for libopencv-contrib410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) contrib library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-contrib410 Description-md5: 36d44bef9b06eb08db5b03229e11558f Description-en: computer vision contrlib library This package contains the OpenCV (Open Computer Vision) opencv_contrib runtime libraries. This package contain following contrlib libraries: . - aruco - barcode - bgsegm - bioinspired - ccalib - cnn_3dobj - cvv - datasets - dpm - face - freetype - fuzzy - hdf - hfs - img_hash - line_descriptor - matlab - optflow - ovis - phase_unwrapping - plot - reg - rgbd - saliency - sfm - signal - stereo - structured_light - surface_matching - tracking - ximgproc - xobjdetect - xphoto - wechat-qrcode . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-core-dev Description-md5: 4f82d1d002519fe7a588de93d3f08182 Description-en: development files for libopencv-core410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) core. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-core410 Description-md5: 8292a7f05662b9e9cf3408ffc2f8e61f Description-en: computer vision core library This package contains the OpenCV (Open Computer Vision) core runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-dev Description-md5: f9dc67381f1013c39fe59842c79cbddf Description-en: development files for opencv This is a metapackage providing development package necessary for development of OpenCV (Open Computer Vision). . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-dnn-dev Description-md5: 79dcdb19d80060e63caf1e5a81762ecb Description-en: development files for libopencv-dnn410 This package contains the header files and static library needed to compile in deep neural network module. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-dnn410 Description-md5: 72ced12aa6915ca1fd2c25f0f814929c Description-en: computer vision Deep neural network module This package contains the OpenCV (Open Computer Vision) deep neural network module. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-features2d-dev Description-md5: 216fa824cd164d18170a13e739da161f Description-en: development files for libopencv-features2d410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) Feature Detection and Descriptor Extraction library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-features2d410 Description-md5: 122b12bbf961eda4e13f729263851b16 Description-en: computer vision Feature Detection and Descriptor Extraction library This package contains the OpenCV (Open Computer Vision) Feature Detection and Descriptor Extraction runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-flann-dev Description-md5: 036d56a07167919af926589b993a34f4 Description-en: development files for libopencv-flann410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) Clustering and Search in Multi-Dimensional spaces library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-flann410 Description-md5: b0dfaeb42797c690e89719d4e38be30c Description-en: computer vision Clustering and Search in Multi-Dimensional spaces library This package contains the OpenCV (Open Computer Vision) clustering and search in Multi-Dimensional spaces runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-highgui-dev Description-md5: 5c78e554262cc61c75546ab87ca09040 Description-en: development files for libopencv-highgui410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) High-level GUI and Media I/O library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-highgui410 Description-md5: 4f2ee9c2e44d09b28cce1cc8726b50f0 Description-en: computer vision High-level GUI and Media I/O library This package contains the OpenCV (Open Computer Vision) High-level GUI and Media I/O runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-imgcodecs-dev Description-md5: 484f14094ff14469b7dd7b1cce80fe0f Description-en: development files for libopencv-imgcodecs410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) Image Codecs library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-imgcodecs410 Description-md5: a60db0058ca6d4545c6dee49271993ff Description-en: computer vision Image Codecs library This package contains the OpenCV (Open Computer Vision) Image Codecs runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-imgproc-dev Description-md5: d89bf2f4094125e9d00aa73cc88a85ab Description-en: development files for libopencv-imgproc410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) Image Processing library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-imgproc410 Description-md5: 98d7deaad1f7fb1315ad11b8d946c391 Description-en: computer vision Image Processing library This package contains the OpenCV (Open Computer Vision) Image Processing runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-java Description-md5: 3ec3c157401d8e95562d4ddb20dd6782 Description-en: Java bindings for the computer vision library This package contains Java bindings for the OpenCV (Open Computer Vision) library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-ml-dev Description-md5: f34c04efdbda6b3a789a4f398b46215c Description-en: development files for libopencv-ml410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) Machine Learning library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-ml410 Description-md5: 59ba4a6fa1005775468fe2df9151c0bb Description-en: computer vision Machine Learning library This package contains the OpenCV (Open Computer Vision) Machine Learning runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-objdetect-dev Description-md5: ed123727ea3f5d30ae9321f58de2f1e5 Description-en: development files for libopencv-objdetect410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) Object Detection library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-objdetect410 Description-md5: 9a77ab897ba11a70615d3e366bf512ad Description-en: computer vision Object Detection library This package contains the OpenCV (Open Computer Vision) Object Detection runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-photo-dev Description-md5: 7030e45d915e81308f72c19fa72cc00d Description-en: development files for libopencv-photo410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) computational photography library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-photo410 Description-md5: c096ada5b24714a93c563c0c9324da3b Description-en: computer vision computational photography library This package contains the OpenCV (Open Computer Vision) computational photography runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-shape-dev Description-md5: 95bba044963b3bfc034d5acda2554fd3 Description-en: development files for libopencv-shape410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) shape descriptors and matchers library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-shape410 Description-md5: 29f59ebec864aa3692b3bfeb6fe9c8d7 Description-en: computer vision shape descriptors and matchers library This package contains the OpenCV (Open Computer Vision) shape descriptors and matchers runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-stitching-dev Description-md5: 685dc972a46a8fa2b9657c5e639e6250 Description-en: development files for libopencv-stitching410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) image stitching library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-stitching410 Description-md5: ac8bc208181de694f4af969d67121211 Description-en: computer vision image stitching library This package contains the OpenCV (Open Computer Vision) image stitching runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-superres-dev Description-md5: 071902c3eefb3418fd812393dba61388 Description-en: development files for libopencv-superres410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) Super Resolution library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-superres410 Description-md5: d6c05e870423afcb1e1ba16cdd7f3b6b Description-en: computer vision Super Resolution library This package contains the OpenCV (Open Computer Vision) Super Resolution runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-video-dev Description-md5: 3702e2be3aca384079cb43d5d33aa8d4 Description-en: development files for libopencv-video410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) Video analysis library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-video410 Description-md5: bd7405911f1732d24e276b0fe9a79d56 Description-en: computer vision Video analysis library This package contains the OpenCV (Open Computer Vision) Video analysis runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-videoio-dev Description-md5: 6825ad39bf85b2b6e728a3b2d543d0ef Description-en: development files for libopencv-videoio410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) Video I/O library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-videoio410 Description-md5: 9423a6c92ac81c419de450fdcf11b5f3 Description-en: computer vision Video I/O library This package contains the OpenCV (Open Computer Vision) Video I/O runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-videostab-dev Description-md5: b5e857aed1bea483e139f5723130d1d2 Description-en: development files for libopencv-videostab410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) video stabilization library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-videostab410 Description-md5: efea3ad388a51f61b9510a64b37f2ec2 Description-en: computer vision video stabilization library This package contains the OpenCV (Open Computer Vision) video stabilization runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-viz-dev Description-md5: 743e98698a7879a6a2ea3740516be596 Description-en: development files for libopencv-viz410 This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision) 3D data visualization library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv-viz410 Description-md5: d65227bfb9f1bec6ce226d96bdf9fd6b Description-en: computer vision 3D data visualization library This package contains the OpenCV (Open Computer Vision) 3D data visualization runtime libraries. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libopencv410-jni Description-md5: 3e8bfd86bff143c280bb78e8c95073c6 Description-en: Java jni library for the computer vision library This package contains Java jni library for the OpenCV (Open Computer Vision) library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: liboqs-dev Description-md5: 0bccf5e2c23b45c46763f63d43beffdf Description-en: library for quantum-safe cryptographic algorithms (development files) liboqs is an open source C library for quantum-safe cryptographic algorithms. It provides a collection of open source implementations of quantum-safe key encapsulation mechanism (KEM) and digital signature algorithms; a common API for these algorithms; a test harness and benchmarking routines. . liboqs is part of the Open Quantum Safe (OQS) project, which aims to develop and integrate into applications quantum-safe cryptography to facilitate deployment and testing in real world contexts. In particular, OQS provides prototype integrations of liboqs into TLS and SSH, through OpenSSL and OpenSSH. . This package contains the development files. Package: liboqs3 Description-md5: 0d203f318572e69446707ff82ea0f6e1 Description-en: library for quantum-safe cryptographic algorithms (shared library) liboqs is an open source C library for quantum-safe cryptographic algorithms. It provides a collection of open source implementations of quantum-safe key encapsulation mechanism (KEM) and digital signature algorithms; a common API for these algorithms; a test harness and benchmarking routines. . liboqs is part of the Open Quantum Safe (OQS) project, which aims to develop and integrate into applications quantum-safe cryptography to facilitate deployment and testing in real world contexts. In particular, OQS provides prototype integrations of liboqs into TLS and SSH, through OpenSSL and OpenSSH. . This package contains the shared library. Package: libortp-dev Description-md5: 491be54d6f8bf5d7d33c535279fda3c1 Description-en: Development files for the ortp RTP library This library implements RFC 3550 (RTP) and offers an easy to use API with high-level and low-level access. It is part of Linphone. . The main features are support for multiple profiles (AV profile RFC 3551 being the default one); an optional packet scheduler for synchronizing RTP recv and send; blocking or non-blocking IO for RTP sessions; multiplexed IO; some of RFC 4733 for telephone events over RTP. . This package contains the development files. Package: libortp-doc Description-md5: fcfd134a4f64d546e7ffb477fdc16509 Description-en: oRTP API documentation This library implements RFC 3550 (RTP) and offers an easy to use API with high-level and low-level access. It is part of Linphone. . The main features are support for multiple profiles (AV profile RFC 3551 being the default one); an optional packet scheduler for synchronizing RTP recv and send; blocking or non-blocking IO for RTP sessions; multiplexed IO; some of RFC 4733 for telephone events over RTP. . This package contains the API documentation. Package: libortp16 Description-md5: 13c152962710d40dde2dc6114071765c Description-en: Real-time Transport Protocol (RTP) stack This library implements RFC 3550 (RTP) and offers an easy to use API with high-level and low-level access. It is part of Linphone. . The main features are support for multiple profiles (AV profile RFC 3551 being the default one); an optional packet scheduler for synchronizing RTP recv and send; blocking or non-blocking IO for RTP sessions; multiplexed IO; some of RFC 4733 for telephone events over RTP. Package: libpam-fscrypt Description-md5: 421164b2c9097f303ec36802927e6dea Description-en: PAM module for Linux filesystem encryption fscrypt is a high-level tool for the management of Linux filesystem encryption. This tool manages metadata, key generation, key wrapping, PAM integration, and provides a uniform interface for creating and modifying encrypted directories. . This PAM module can be used to unlock one or more directories upon user login and then lock those directories when the user ends their session. Package: libpam-zfs Description-md5: 22667c0f995168539097f168459e100b Description-en: PAM module for managing encryption keys for ZFS OpenZFS is a storage platform that encompasses the functionality of traditional filesystems and volume managers. It supports data checksums, compression, encryption, snapshots, and more. . This provides a Pluggable Authentication Module (PAM) that automatically unlocks encrypted ZFS datasets upon login. Package: libpari-dev Description-md5: a85707baed5c7884054cdfe277a18017 Description-en: PARI/GP Computer Algebra System development files PARI/GP is a widely used computer algebra system designed for fast computations in number theory (factorizations, algebraic number theory, elliptic curves...), but also contains a large number of other useful functions to compute with mathematical entities such as matrices, polynomials, power series, algebraic numbers etc., and a lot of transcendental functions. PARI is also available as a C library to allow for faster computations. . Originally developed by Henri Cohen and his co-workers (University Bordeaux I, France), PARI is now under the GPL and maintained by Karim Belabas with the help of many volunteer contributors. . This package contains the include files and static library. Package: libpari-gmp-tls9 Description-md5: 97f7c30b6b948deb4f4764672b21bea8 Description-en: PARI/GP Computer Algebra System shared library PARI/GP is a widely used computer algebra system designed for fast computations in number theory (factorizations, algebraic number theory, elliptic curves...), but also contains a large number of other useful functions to compute with mathematical entities such as matrices, polynomials, power series, algebraic numbers etc., and a lot of transcendental functions. PARI is also available as a C library to allow for faster computations. . Originally developed by Henri Cohen and his co-workers (University Bordeaux I, France), PARI is now under the GPL and maintained by Karim Belabas with the help of many volunteer contributors. . This package contains the shared library built with GNU MP support. Package: libpeasd-3-0 Description-md5: f955dad7a381c704ef7c8fd326f78820 Description-en: GTK+ application plugin library - D bindings Libpeas is a library that allows GTK+ applications to support plugins. . This package contains the runtime needed for applications written in D. Package: libpeasd-3-dev Description-md5: b379bf0b73d6021045dd7757d5404a94 Description-en: GTK+ application plugin library - development files for D Libpeas is a library that allows GTK+ applications to support plugins. . This package contains development files needed to write applications in the D programming language that use Libpeas. Package: libplplot-data Description-md5: bd59614b4a646f34118ddf9030ebaee2 Description-en: Scientific plotting library (data files) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the architecture-independent data files used by the PLplot C library. Package: libplplot-dev Description-md5: a4f560488d2925922a3a95d234e764b1 Description-en: Scientific plotting library (development files) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains all that is needed for doing development in C, C++ and Fortran with PLplot. For development in Tcl/Tk, Python and Java, install the plplot-tcl-dev, python3-plplot and libplplot-java packages, respectively. This package also includes the OCaml and Lua examples. To use one of these languages you will also need to install the libplplot-xxx package for that language. Package: libplplot-java Description-md5: 9be651b2fe4b86da141747b3ad0d8f8c Description-en: Scientific plotting library (Java bindings) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the Java bindings for PLplot. Package: libplplot-lua Description-md5: 15b01f15d00c6e0a485725fa37f771ef Description-en: Scientific plotting library (Lua bindings) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the Lua bindings for PLplot. Package: libplplot-ocaml Description-md5: 037c0775570fa05ff6f5f75b19c4c9fe Description-en: OCaml bindings for the scientific plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the OCaml bindings for PLplot. Package: libplplot-ocaml-dev Description-md5: 863ea4066e03489260996582c4d047af Description-en: OCaml support for the PLplot plotting library (development files) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the development package for PLplot OCaml bindings. Package: libplplot17 Description-md5: c3f3527bdbadd832ddea1189dc9fba15 Description-en: Scientific plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the PLplot library for C. Package: libplplotada-dev Description-md5: 6882c31d542533f2ffc9e45ece5bbe72 Description-en: Ada support for PLplot, a plotting library (development files) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains all that is needed for doing PLplot development in Ada. Package: libplplotada4.2 Description-md5: 132b70eb75a1fd1e20f29998334b7a1d Description-en: Ada support for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the runtime Ada bindings library for PLplot. Package: libplplotcxx15 Description-md5: f12263a92a75c6e7dc6362bac29d5c6f Description-en: Scientific plotting library (C++ binding) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the C++ binding for PLplot. Package: libplplotfortran0 Description-md5: d794d8932a6170a1b17942ded61821f2 Description-en: Scientific plotting library (fortran bindings) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the Fortran 95 bindings for PLplot. Note: the Fortran 77 bindings have been deprecated upstream for some time and have now been dropped from the Debian packages. Package: libplplotqt2 Description-md5: 28fedac3e79b55e453c293e32551da5f Description-en: Scientific plotting library (Qt bindings) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides C++ bindings to allow use of the PLplot API in Qt applications. Package: libplplotwxwidgets1 Description-md5: 2ede11f4982f1eb91c163ebbc4c7cdb4 Description-en: Scientific plotting library (wxWidgets bindings) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the wxWidgets bindings. Package: libpocl-dev Description-md5: e354c66ecd7fac208f624d728c125be4 Description-en: development files for the PoCL library Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. . This package provides the development files for the PoCL library. Unless very specific needs, this package is useless. This package is only required to link directly and explicitly with PoCL. . To develop OpenCL programs (that can be run with PoCL), the opencl-dev virtual package (provided by ocl-icd-opencl-dev for example) is required, not this one. Package: libpocl-devices-level0 Description-md5: 9851a2c5fad1e6c605ec77ab44111700 Description-en: Portable Computing Language library - Level Zero device library Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. . This package provides the Level Zero device library. Package: libpocl2-common Description-md5: 78bb8a43cbfd220175471920d25274ed Description-en: common files for the PoCL library Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. . This package provides the architecture independent files of the PoCL library. Package: libpocl2t64 Description-md5: 610b47f08150bddaa4674d5a7911773f Description-en: Portable Computing Language library Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. A "native" target is included, which allows running OpenCL kernels on the host (CPU). . This package provides the core of PoCL. Package: libpomegranate-clojure Description-md5: 4149edf9922cf9fb6aa2e64710b67505 Description-en: dependency resolution and repository handling library for Clojure pomegranate provides a Clojure interface to sonatype-aether. It supports the following features from Aether: . *Dependency resolution and common dependency graph/hierarchy manipulation operations. *Local installation of artifacts. *Remote deployment. *Repository authentication. *HTTP proxy configuration. *Offline mode. . It also allows provides dynamic inclusion of libraries in the classpath whether the libraries are installed or have to be retrieved from a repository. Package: libqsastime-dev Description-md5: 50108538c058e2b15a79bc388b474234 Description-en: Time format conversion library (development files) The qsastime library is a simple library for handling time format conversion. It overcomes the limitations of the POSIX time handling routines by allow high precision time variables over a large range of ranges of dates and by correctly handling leap seconds. It was designed with the needs of scientific data plotting in mind. This package provides the necessary files to do development with libqsastime. . The source code for this library is part of the plplot source package. Package: libqsastime0 Description-md5: 1b0ca9bf80be8acb86ff7fc64cc84f9a Description-en: Time format conversion library The qsastime library is a simple library for handling time format conversion. It overcomes the limitations of the POSIX time handling routines by allow high precision time variables over a large range of ranges of dates and by correctly handling leap seconds. It was designed with the needs of scientific data plotting in mind. This package provides the runtime library. . The source code for this library is part of the plplot source package. Package: librdkit-dev Description-md5: cadf4e2d9818548292d31eade9e00bca Description-en: Collection of cheminformatics and machine-learning software (development files) RDKit is a Python/C++ based cheminformatics and machine-learning software environment. Features Include: . * Chemical reaction handling and transforms * Substructure searching with SMARTS * Canonical SMILES * Molecule-molecule alignment * Large number of molecular descriptors, including topological, compositional, EState, SlogP/SMR, VSA and Feature-map vectors * Fragmentation using RECAP rules * 2D coordinate generation and depiction, including constrained depiction * 3D coordinate generation using geometry embedding * UFF and MMFF94 forcefields * Chirality support, including calculation of (R/S) stereochemistry codes * 2D pharmacophore searching * Fingerprinting, including Daylight-like, atom pairs, topological torsions, Morgan algorithm and MACCS keys * Calculation of shape similarity * Multi-molecule maximum common substructure * Machine-learning via clustering and information theory algorithms * Gasteiger-Marsili partial charge calculation . File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit binary format. . This package contains the header files. Package: librdkit1t64 Description-md5: f3b5688fc64de582110322df8c0d19da Description-en: Collection of cheminformatics and machine-learning software (shared libraries) RDKit is a Python/C++ based cheminformatics and machine-learning software environment. Features Include: . * Chemical reaction handling and transforms * Substructure searching with SMARTS * Canonical SMILES * Molecule-molecule alignment * Large number of molecular descriptors, including topological, compositional, EState, SlogP/SMR, VSA and Feature-map vectors * Fragmentation using RECAP rules * 2D coordinate generation and depiction, including constrained depiction * 3D coordinate generation using geometry embedding * UFF and MMFF94 forcefields * Chirality support, including calculation of (R/S) stereochemistry codes * 2D pharmacophore searching * Fingerprinting, including Daylight-like, atom pairs, topological torsions, Morgan algorithm and MACCS keys * Calculation of shape similarity * Multi-molecule maximum common substructure * Machine-learning via clustering and information theory algorithms * Gasteiger-Marsili partial charge calculation . File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit binary format. . This package contains the shared libraries. Package: librust-async-std-resolver-dev Description-md5: 08b68b6345b7677788fe7892787bc1b5 Description-en: Hickory DNS is a safe and secure DNS library, for async-std - Rust source code This Resolver library uses the hickory-proto library to perform all DNS queries. The Resolver is intended to be a high-level library for any DNS record resolution see Resolver and AsyncResolver for supported resolution types. The Client can be used for other queries. . Source code for Debianized Rust crate "async-std-resolver" Package: librust-async-tls-dev Description-md5: 30ec4f70093ce3ad4b827921abf2cdee Description-en: Asynchronous TLS/SSL streams using Rustls - Rust source code Source code for Debianized Rust crate "async-tls" Package: librust-axum-core-dev Description-md5: b392ea96cdb46906274e394fb39eb946 Description-en: core types and traits for the web framework axum - Rust source code axum is a web application framework that focuses on ergonomics and modularity. . * Route requests to handlers with a macro free API. * Declaratively parse requests using extractors. * Simple and predictable error handling model. * Generate responses with minimal boilerplate. * Take full advantage of the tower and tower-http ecosystem of middleware, services, and utilities. . In particular the last point is what sets axum apart from other frameworks. axum doesn't have its own middleware system but instead uses tower::Service. This means axum gets timeouts, tracing, compression, authorization, and more, for free. It also enables you to share middleware with applications written using hyper or tonic. . This package contains the source for the Rust crate axum-core, for use with cargo. Package: librust-axum-dev Description-md5: d84c6c7f8d0787e1d58404074107aa36 Description-en: ergonomic and modular web framework - Rust source code axum is a web application framework that focuses on ergonomics and modularity. . * Route requests to handlers with a macro free API. * Declaratively parse requests using extractors. * Simple and predictable error handling model. * Generate responses with minimal boilerplate. * Take full advantage of the tower and tower-http ecosystem of middleware, services, and utilities. . In particular the last point is what sets axum apart from other frameworks. axum doesn't have its own middleware system but instead uses tower::Service. This means axum gets timeouts, tracing, compression, authorization, and more, for free. It also enables you to share middleware with applications written using hyper or tonic. . This package contains the source for the Rust crate axum, for use with cargo. Package: librust-axum-extra-dev Description-md5: 1b0ba7257a7d6b6be07ecd9d209f783e Description-en: extra utilities for the web framework axum - Rust source code axum is a web application framework that focuses on ergonomics and modularity. . * Route requests to handlers with a macro free API. * Declaratively parse requests using extractors. * Simple and predictable error handling model. * Generate responses with minimal boilerplate. * Take full advantage of the tower and tower-http ecosystem of middleware, services, and utilities. . In particular the last point is what sets axum apart from other frameworks. axum doesn't have its own middleware system but instead uses tower::Service. This means axum gets timeouts, tracing, compression, authorization, and more, for free. It also enables you to share middleware with applications written using hyper or tonic. . This package contains the source for the Rust crate axum-extra, for use with cargo. Package: librust-axum-macros-dev Description-md5: 2a36e3388eed8dd879edaca3389693dd Description-en: macros for the web framework axum - Rust source code axum is a web application framework that focuses on ergonomics and modularity. . * Route requests to handlers with a macro free API. * Declaratively parse requests using extractors. * Simple and predictable error handling model. * Generate responses with minimal boilerplate. * Take full advantage of the tower and tower-http ecosystem of middleware, services, and utilities. . In particular the last point is what sets axum apart from other frameworks. axum doesn't have its own middleware system but instead uses tower::Service. This means axum gets timeouts, tracing, compression, authorization, and more, for free. It also enables you to share middleware with applications written using hyper or tonic. . This package contains the source for the Rust crate axum-macros, for use with cargo. Package: librust-chrono-tz-build-dev Description-md5: 4b18de3b04558a8931f9123566069984 Description-en: Internal build script for chrono-tz - Rust source code Source code for Debianized Rust crate "chrono-tz-build" Package: librust-chrono-tz-dev Description-md5: 1168fc064bfecf3cc06f217770a11688 Description-en: TimeZone implementations for chrono from the IANA database - Rust source code Source code for Debianized Rust crate "chrono-tz" Package: librust-clap-complete-nushell-dev Description-md5: 6e2d619c8e3d1f28afe15bc8136691a3 Description-en: Generator library used with clap for Nushell completion scripts - Rust source code Source code for Debianized Rust crate "clap_complete_nushell" Package: librust-clircle-dev Description-md5: 6124cf92f4f93a4d282176901eb28014 Description-en: Detect IO circles in your CLI apps arguments - Rust source code Source code for Debianized Rust crate "clircle" Package: librust-config-dev Description-md5: 935af5fcc0ce586855d119e2cd1dda9c Description-en: Layered configuration system for Rust applications - Rust source code Source code for Debianized Rust crate "config" Package: librust-dockworker-dev Description-md5: c0099da15cc1850aa5b7afdd0b1640b1 Description-en: Docker daemon API client - Rust source code (a fork of Faraday's boondock) . Source code for Debianized Rust crate "dockworker" Package: librust-drm-sys-dev Description-md5: 542dd83aaf3437fbbd0af5af196a6119 Description-en: Bindings to the Direct Rendering Manager API - Rust source code Source code for Debianized Rust crate "drm-sys" Package: librust-gstreamer-sys-dev Description-md5: 8b8c788afd65244ff8be792bd4118169 Description-en: FFI bindings to libgstreamer-1.0 - Rust source code Source code for Debianized Rust crate "gstreamer-sys" Package: librust-h3-dev Description-md5: 92bf4fde7a400ad30f0a03f7de0bba4c Description-en: Async HTTP/3 implementation - Rust source code Source code for Debianized Rust crate "h3" Package: librust-h3-quinn-dev Description-md5: f96ed1e05dd98877425b69c70d7bce42 Description-en: QUIC transport implementation based on Quinn - Rust source code Source code for Debianized Rust crate "h3-quinn" Package: librust-hafas-rs-dev Description-md5: 3b3dc801ab160f06834dfc1fdc9e01a4 Description-en: HAFAS client in Rust - Rust source code Source code for Debianized Rust crate "hafas-rs" Package: librust-hickory-proto-dev Description-md5: 0627ec8919bab75e7c1ffdfcda293bb5 Description-en: Hickory DNS is a safe and secure DNS library - Rust source code This is the foundational DNS protocol library for all Hickory DNS projects. . Source code for Debianized Rust crate "hickory-proto" Package: librust-hickory-resolver-dev Description-md5: c1ec6b16d752fd7525e09ce824f29d5f Description-en: Hickory DNS is a safe and secure DNS library - Rust source code This Resolver library uses the Client library to perform all DNS queries. The Resolver is intended to be a high-level library for any DNS record resolution see Resolver and AsyncResolver for supported resolution types. The Client can be used for other queries. . Source code for Debianized Rust crate "hickory-resolver" Package: librust-hyper-timeout-dev Description-md5: 1e54498e2dfcfe0ef271057f6182d335 Description-en: Connect, read and write timeout aware connector to be used with hyper Client - Rust source code Source code for Debianized Rust crate "hyper-timeout" Package: librust-irc-dev Description-md5: 4eef2960d18a3009874f6b5c7881b277 Description-en: Irc crate – usable, async IRC for Rust - Rust source code Source code for Debianized Rust crate "irc" Package: librust-leptonica-plumbing-dev Description-md5: df5e97de8b281f9d08bd768166aa6bb9 Description-en: safe wrapper of leptonica-sys - Rust source code Leptonica-plumbing exposes a safe version of the leptonica-sys api, to access the C API of leptonica in a safe manner. . This package contains the source for the Rust leptonica-plumbing crate, for use with cargo. Package: librust-litemap-dev Description-md5: 9dd2c43408027d89f06998cf8ac6518f Description-en: Key-value Map implementation based on a flat, sorted Vec - Rust source code Source code for Debianized Rust crate "litemap" Package: librust-ntp-proto-dev Description-md5: f8e3ce7c61f16f09a5b48ad2ffdf98a4 Description-en: Ntpd-rs packet parsing and algorithms - Rust source code Source code for Debianized Rust crate "ntp-proto" Package: librust-ntpd-dev Description-md5: 92d6966643366931ea312a657c5286e4 Description-en: Full-featured implementation of NTP with NTS support - Rust source code This package contains the source for the Rust ntpd crate, packaged by debcargo for use with cargo and dh-cargo. Package: librust-numbat-dev Description-md5: fb8559e7a9c3292f19d21746e3a75fc9 Description-en: Programming language for scientific computations - Rust source code Source code for Debianized Rust crate "numbat" Package: librust-opendal-dev Description-md5: 09f72c18497bdc3687cc61c3392e95df Description-en: Apache OpenDAL™: Access data freely, painlessly, and efficiently - Rust source code Source code for Debianized Rust crate "opendal" Package: librust-pyo3-dev Description-md5: e9686b3e5171fe43bd79ca8f552b5c84 Description-en: Bindings to Python interpreter - Rust source code Source code for Debianized Rust crate "pyo3" Package: librust-quinn-dev Description-md5: 41f99da67465a3243c0144cacb5e5325 Description-en: Versatile QUIC transport protocol implementation - Rust source code Source code for Debianized Rust crate "quinn" Package: librust-quinn-proto-dev Description-md5: 1be3279d43243393ed5e9d68be4361ee Description-en: State machine for the QUIC transport protocol - Rust source code Source code for Debianized Rust crate "quinn-proto" Package: librust-quinn-udp-dev Description-md5: c80c1e9ba3c34643499f7b00c9b8ab3b Description-en: UDP sockets with ECN information for the QUIC transport protocol - Rust source code Source code for Debianized Rust crate "quinn-udp" Package: librust-railway-api-dev Description-md5: 8735317659911ef6eef82697b253ce87 Description-en: High-level API for the Railway backend - Rust source code Source code for Debianized Rust crate "railway-api" Package: librust-reqwest-0.11-dev Description-md5: b7fd7f6cc834f7716825c6f222ae40cf Description-en: Higher level HTTP client library - Rust source code Source code for Debianized Rust crate "reqwest" Package: librust-reqwest-dev Description-md5: b7fd7f6cc834f7716825c6f222ae40cf Description-en: Higher level HTTP client library - Rust source code Source code for Debianized Rust crate "reqwest" Package: librust-rustls-native-certs-dev Description-md5: be2ea49e33e50cbd3066ffa986266fc3 Description-en: rustls use of platform native certificate store - Rust source code rustls-native-certs allows rustls to use the platform's native certificate store when operating as a TLS client. . Rustls is a modern TLS library written in Rust. . This package contains the source for the Rust rustls-native-certs crate, packaged for use with cargo and dh-cargo. Package: librust-rustls-pemfile-dev Description-md5: 9207ec1618699ce2232a5105c4ee3a48 Description-en: Basic .pem file parser for keys and certificates - Rust source code Source code for Debianized Rust crate "rustls-pemfile" Package: librust-tera-dev Description-md5: 3e12c719f8e0f04662149da92a7323dc Description-en: Template engine based on Jinja2/Django templates - Rust source code Source code for Debianized Rust crate "tera" Package: librust-tree-sitter-c-dev Description-md5: ec5c1cf3b4574ab056092c0db0bc0301 Description-en: C grammar for the tree-sitter parser - Rust source code tree-sitter-c is a tree-sitter grammar for C. . Tree-sitter is a parser generator and incremental parsing library. . This package contains the source for the Rust tree-sitter-c crate, packaged for use with cargo. Package: librust-tree-sitter-cli-dev Description-md5: ea9a4e6a6e05b1759467c10495401539 Description-en: command-line for Tree-sitter parsers - Rust source code Tree-sitter is a parser generator tool and an incremental parsing library. It can build a concrete syntax tree for a source file and efficiently update the syntax tree as the source file is edited. . This package contains the source for the Rust tree-sitter-cli crate, packaged for use with cargo and dh-cargo. Package: librust-tree-sitter-config-dev Description-md5: c8b1e22eeb5faf49c761c67e9025196c Description-en: user configuration of tree-sitter's CLI programs - Rust source code Tree-sitter is a parser generator tool and an incremental parsing library. It can build a concrete syntax tree for a source file and efficiently update the syntax tree as the source file is edited. . This package contains the source for the Rust tree-sitter-config crate, packaged for use with cargo and dh-cargo. Package: librust-tree-sitter-dev Description-md5: 79b62b53693bd48482fa5c90bcaa0046 Description-en: bindings to the tree-sitter parsing library - Rust source code Tree-sitter is a parser generator tool and an incremental parsing library. It can build a concrete syntax tree for a source file and efficiently update the syntax tree as the source file is edited. . This package contains the source for the Rust tree-sitter crate, packaged for use with cargo and dh-cargo. Package: librust-tree-sitter-highlight-dev Description-md5: 3cb1bf0c52dafd6fc2f43adf34b2fde7 Description-en: perform syntax highlighting with tree-sitter - Rust source code Tree-sitter is a parser generator tool and an incremental parsing library. It can build a concrete syntax tree for a source file and efficiently update the syntax tree as the source file is edited. . This package contains the source for the Rust tree-sitter-highlight crate, packaged for use with cargo and dh-cargo. Package: librust-tree-sitter-loader-dev Description-md5: 4e1bec0b79d45971ad798669bd0ef983 Description-en: locates, builds, and loads tree-sitter grammars - Rust source code Tree-sitter is a parser generator tool and an incremental parsing library. It can build a concrete syntax tree for a source file and efficiently update the syntax tree as the source file is edited. . This package contains the source for the Rust tree-sitter-loader crate, packaged for use with cargo and dh-cargo. Package: librust-tree-sitter-lua-dev Description-md5: f95dcd655867a0aac819d8eaee1e724e Description-en: Lua grammar for the tree-sitter parser - Rust source code tree-sitter-lua is a tree-sitter grammar for Lua. . Tree-sitter is a parser generator and incremental parsing library. . This package contains the source for the Rust tree-sitter-lua crate, packaged for use with cargo. Package: librust-tree-sitter-query-dev Description-md5: 8d1eca3f6d4bb81fb31a7a2ec5db0187 Description-en: query grammar for the tree-sitter parser - Rust source code tree-sitter-query is a tree-sitter grammar for query files (scheme-like). . Tree-sitter is a parser generator and incremental parsing library. . This package contains the source for the Rust tree-sitter-query crate, packaged for use with cargo. Package: librust-tree-sitter-sdml-dev Description-md5: 08a762343250e628cf7db81ea9a4db20 Description-en: sdml grammar for the tree-sitter parser - Rust source code tree-sitter-sdml is a tree-sitter grammar for SDML. . Tree-sitter is a parser generator and incremental parsing library. . The Simple Domain Modeling Language (SDML) is a small data-oriented language for constructing, documenting, and reasoning about a conceptual domain model. . This package contains the source for the Rust tree-sitter-sdml crate, packaged for use with cargo. Package: librust-tree-sitter-tags-dev Description-md5: cbd70a176096114f102b9ecbe050bee5 Description-en: library for extracting tag information - Rust source code Tree-sitter is a parser generator tool and an incremental parsing library. It can build a concrete syntax tree for a source file and efficiently update the syntax tree as the source file is edited. . This package contains the source for the Rust tree-sitter-tags crate, packaged for use with cargo and dh-cargo. Package: librust-tree-sitter-vim-dev Description-md5: d759d1e5fedb5811745ecce0568eccef Description-en: Vimscript grammar for the tree-sitter parser - Rust source code tree-sitter-vim is a tree-sitter grammar for Vimscript. . Tree-sitter is a parser generator and incremental parsing library. . This package contains the source for the Rust tree-sitter-vim crate, packaged for use with cargo. Package: librust-tree-sitter-vimdoc-dev Description-md5: 685ecc552e48ece6aab02ac6a414f249 Description-en: Vimdoc grammar for the tree-sitter parser - Rust source code tree-sitter-vimdoc is a tree-sitter grammar for Vimdoc. . Tree-sitter is a parser generator and incremental parsing library. . This package contains the source for the Rust tree-sitter-vimdoc crate, packaged for use with cargo. Package: librust-virtiofsd-dev Description-md5: e190504f545651689e82a9703932c825 Description-en: Virtio-fs vhost-user device daemon - Rust source code Source code for Debianized Rust crate "virtiofsd" Package: librust-warp-dev Description-md5: 45ce896c08e18b84a336ab10e996610b Description-en: Serve the web at warp speeds - Rust source code Source code for Debianized Rust crate "warp" Package: librust-xshell-macros-dev Description-md5: 7157e32bd9cff11b7519fca1c22607eb Description-en: Private implementation detail of xshell crate - Rust source code Source code for Debianized Rust crate "xshell-macros" Package: librust-yoke-dev Description-md5: 5dd08a5ef5222277bed4a32655c58ad7 Description-en: Abstraction allowing borrowed data to be carried along with the backing data it borrows from - Rust source code Source code for Debianized Rust crate "yoke" Package: librust-zerovec-dev Description-md5: e9127a2c8e5a30b09b9d90f358057bbe Description-en: Zero-copy vector backed by a byte array - Rust source code Source code for Debianized Rust crate "zerovec" Package: libsdl2-mixer-2.0-0 Description-md5: c981ee14a4831197c700b9db54883ca4 Description-en: Mixer library for Simple DirectMedia Layer 2, libraries SDL_mixer is a sample multi-channel audio mixer library. It supports any number of simultaneously playing channels of 16 bit stereo audio, plus a single channel of music, mixed by the popular FLAC, libxmp MOD, FluidSynth and Timidity MIDI, Ogg Vorbis, and mpg123 MP3 libraries. . This package contains the shared library. Package: libsdl2-mixer-dev Description-md5: f96491ea77ed91367c4349f5c89d20ea Description-en: Mixer library for Simple DirectMedia Layer 2, development files SDL_mixer is a sample multi-channel audio mixer library. It supports any number of simultaneously playing channels of 16 bit stereo audio, plus a single channel of music, mixed by the popular FLAC, libxmp MOD, FluidSynth and Timidity MIDI, Ogg Vorbis, and mpg123 MP3 libraries. . This package contains the development files. Package: libseat-dev Description-md5: e03544163d34dd8d30669f67251851d0 Description-en: flexible user, seat and session management library (development files) seatd session management development files. Package: libseat1 Description-md5: 7f01ee0a114f451a23cc50044cc35adb Description-en: flexible user, seat and session management library This library provides runtime access to user, seat and session management. The backend server used is configurable at runtime. Currently implemented backends are seatd, elogind or systemd-logind. Package: libstdc++-12-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: libstdc++-12-doc Description-md5: 1a4b88b8d9f96dfb2ac2be1049b4db01 Description-en: GNU Standard C++ Library v3 (documentation files) This package contains documentation files for the GNU stdc++ library. . One set is the distribution documentation, the other set is the source documentation including a namespace list, class hierarchy, alphabetical list, compound list, file list, namespace members, compound members and file members. Package: libstdc++-12-pic Description-md5: 1b398e74a3b20401a8669d8c6472c7cc Description-en: GNU Standard C++ Library v3 (shared library subset kit) This is used to develop subsets of the libstdc++ shared libraries for use on custom installation floppies and in embedded systems. . Unless you are making one of those, you will not need this package. Package: libstdc++-13-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: libstdc++-13-doc Description-md5: 1a4b88b8d9f96dfb2ac2be1049b4db01 Description-en: GNU Standard C++ Library v3 (documentation files) This package contains documentation files for the GNU stdc++ library. . One set is the distribution documentation, the other set is the source documentation including a namespace list, class hierarchy, alphabetical list, compound list, file list, namespace members, compound members and file members. Package: libstdc++-13-pic Description-md5: 1b398e74a3b20401a8669d8c6472c7cc Description-en: GNU Standard C++ Library v3 (shared library subset kit) This is used to develop subsets of the libstdc++ shared libraries for use on custom installation floppies and in embedded systems. . Unless you are making one of those, you will not need this package. Package: libstdc++-15-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: libstdc++-15-pic Description-md5: 1b398e74a3b20401a8669d8c6472c7cc Description-en: GNU Standard C++ Library v3 (shared library subset kit) This is used to develop subsets of the libstdc++ shared libraries for use on custom installation floppies and in embedded systems. . Unless you are making one of those, you will not need this package. Package: libstdc++6-12-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: libstdc++6-13-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: libstdc++6-14-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: libstdc++6-15-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: libtemplates-parser-dev Description-md5: 9cce1eb6cf7de74d6b15663ba4d84908 Description-en: Ada library to parse files and replace variables The main goal is to ease the development of Web servers. In CGI (Common Gateway Interface) applications, you have to write HTML pages in the program (in Ada or whatever other language) by using some specific libraries or by using only basic output functions. This is of course not mandatory but by lack of a good library every Web development ends up doing just that. Templates Parser takes that burden off of you. . This package contains the static libraries, documentation, tools and Ada specification files. Package: libtemplates-parser-doc Description-md5: f215015f590d85871d2c6028bb8cba41 Description-en: Ada library to parse files and replace variables (documentation) The main goal is to ease the development of Web servers. In CGI (Common Gateway Interface) applications, you have to write HTML pages in the program (in Ada or whatever other language) by using some specific libraries or by using only basic output functions. This is of course not mandatory but by lack of a good library every Web development ends up doing just that. Templates Parser takes that burden off of you. . This package contains the documentation for developers using the library. Package: libtemplates-parser21 Description-md5: 8fb5216db500612183ba10aef3e0096d Description-en: Ada library to parse files and replace variables (runtime) The main goal is to ease the development of Web servers. In CGI (Common Gateway Interface) applications, you have to write HTML pages in the program (in Ada or whatever other language) by using some specific libraries or by using only basic output functions. This is of course not mandatory but by lack of a good library every Web development ends up doing just that. Templates Parser takes that burden off of you. . This package contains the runtime shared library. Package: libtexttools-dev Description-md5: 57b7d099868fda1746521986c312f316 Description-en: Ada library for writing console applications: development The Texttools packages are a GPL, ncurses-based library for the Linux console. Texttools contain more than 600 procedures and functions to create windows, draw scroll bars, handle the mouse and keyboard events, play sounds, and much more. The Texttools package also provides a thick binding to Linux kernel calls. You can create a wide variety of application programs using Texttools alone. . Install this package if you want to write Ada programs that use Texttools. Package: libtexttools-doc Description-md5: 18fae2cf806eac17ad20b8516264dea5 Description-en: Ada library for writing console applications: documentation The Texttools packages are a GPL, ncurses-based library for the Linux console. Texttools contain more than 600 procedures and functions to create windows, draw scroll bars, handle the mouse and keyboard events, play sounds, and much more. The Texttools package also provides a thick binding to Linux kernel calls. You can create a wide variety of application programs using Texttools alone. . This package contains the documentation. Package: libtexttools12 Description-md5: 466bdb4298bade4ddb1a8cfbbbfad9aa Description-en: Ada library for writing console applications: shared library The Texttools packages are a GPL, ncurses-based library for the Linux console. Texttools contain more than 600 procedures and functions to create windows, draw scroll bars, handle the mouse and keyboard events, play sounds, and much more. The Texttools package also provides a thick binding to Linux kernel calls. You can create a wide variety of application programs using Texttools alone. . This package contains the runtime shared library. Package: libtinyxml2-10 Description-md5: f7a6d9c81bce6e3c6808f3a7af25b07c Description-en: C++ XML parsing library This package contains the shared version of the TinyXML2 library. . TinyXML2 is a simple and small C++ XML parser that can be easily integrating into other programs. It reads XML and creates C++ objects representing the XML document. The objects can be manipulated, changed, and saved again as XML. . TinyXML2 supersedes the previous TinyXML library, with various improvements: - Fewer memory allocations (1% - 10% compared to TinyXML) - Uses less memory (about 40% of that used by TinyXML) - Faster - No STL requirement - More modern C++, including a proper namespace - Proper and useful handling of whitespace Package: libtinyxml2-dev Description-md5: 010e91311a83f9f79a3ece268beb399a Description-en: TinyXML2 library - header and static library This package contains the header file and a static version of the TinyXML2 library. . TinyXML2 is a simple and small C++ XML parser that can be easily integrating into other programs. It reads XML and creates C++ objects representing the XML document. The objects can be manipulated, changed, and saved again as XML. . TinyXML2 supersedes the previous TinyXML library, with various improvements: - Fewer memory allocations (1% - 10% compared to TinyXML) - Uses less memory (about 40% of that used by TinyXML) - Faster - No STL requirement - More modern C++, including a proper namespace - Proper and useful handling of whitespace Package: libtree-sitter-dev Description-md5: 5370d92bf33a65bb902a4e7e12e46ed5 Description-en: incremental parsing system for programming tools (development files) Tree-sitter is a parser generator tool and an incremental parsing library. It can build a concrete syntax tree for a source file and efficiently update the syntax tree as the source file is edited. . Tree-sitter aims to be: . * General enough to parse any programming language * Fast enough to parse on every keystroke in a text editor * Robust enough to provide useful results even in the presence of syntax errors * Dependency-free so that the runtime library (which is written in pure C) can be embedded in any application . This package contains the header files and libraries needed for developing with tree-sitter. Package: libtree-sitter0 Description-md5: 7088503d263961758af6db1536960e78 Description-en: incremental parsing system for programming tools Tree-sitter is a parser generator tool and an incremental parsing library. It can build a concrete syntax tree for a source file and efficiently update the syntax tree as the source file is edited. Package: libunwind-setjmp0 Description-md5: a2ad087672aa604c7e2e5e0dee1676a0 Description-en: libunwind-based non local goto - runtime The unwind-setjmp library offers a libunwind-based implementation of non-local gotos. This implementation is intended to be a drop-in replacement for the normal, system-provided routines of the same name. The main advantage of using the unwind-setjmp library is that setting up a non-local goto via one of the setjmp() routines is very fast. . This package includes the shared library Package: libunwind-setjmp0-dev Description-md5: 099a990a984e4f0a5487014e58a269b8 Description-en: libunwind-based non local goto - development The unwind-setjmp library offers a libunwind-based implementation of non-local gotos. This implementation is intended to be a drop-in replacement for the normal, system-provided routines of the same name. The main advantage of using the unwind-setjmp library is that setting up a non-local goto via one of the setjmp() routines is very fast. . This package includes the development support files Package: libvarnishapi-dev Description-md5: 9c15761c354c732b35ffb9837d7b1ea0 Description-en: development files for Varnish Development files for the Varnish HTTP accelerator. Package: libvarnishapi3 Description-md5: b62e58460782f2fccf788b20917cd377 Description-en: shared libraries for Varnish Shared libraries for the Varnish HTTP accelerator. Package: libvisp-ar-dev Description-md5: 810a6c21260a1476193599f6d91d32db Description-en: development files for libvisp-ar This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) augmented reality (ar) library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-ar3.6t64 Description-md5: c8b5b7c68d9cab25f4a784a16280495f Description-en: visual servoing platform ar library This package contains the ViSP (Visual Servoing Platform) augmented reality (ar) runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-blob-dev Description-md5: 089abe0121b4ddd141bff9f92da381bb Description-en: development files for libvisp-blob This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) blob tracking library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-blob3.6t64 Description-md5: 366ed5d9faba5ca74270e122738092a6 Description-en: visual servoing platform blob tracking library This package contains the ViSP (Visual Servoing Platform) blob tracking runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-core-dev Description-md5: 723f7a7903e797b78689bc87bbecc2a5 Description-en: development files for libvisp-core This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) core. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-core3.6t64 Description-md5: 324d172541e14dae5588242a72723a73 Description-en: visual servoing platform core library This package contains the ViSP (Visual Servoing Platform) core runtime libraries. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-detection-dev Description-md5: 0d2f1484c0e2929cf4c4b4daf445218c Description-en: development files for libvisp-detection This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) detection library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-detection3.6t64 Description-md5: 278c404fe7fcdd23a63019b41d8af5eb Description-en: visual servoing platform detection library This package contains the ViSP (Visual Servoing Platform) detection runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-dev Description-md5: 2ae89191cf7d77f76fcd9656f60800ad Description-en: development files for ViSP This is a metapackage providing development package necessary for development of ViSP (Visual Servoing Platform). . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-dnn-tracker-dev Description-md5: a7bb750731e7be11bbaf94bc68c96468 Description-en: development files for libvisp-dnn-tracker This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) deep neural network tracker (dnn-tracker) library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-dnn-tracker3.6t64 Description-md5: e9a58ae009433833e066ff27f1c38dcf Description-en: visual servoing platform dnn-tracker library This package contains the ViSP (Visual Servoing Platform) deep neural network tracker (dnn-tracker) runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-doc Description-md5: 0e1f286804462df88ffd94d27ddf1d9b Description-en: visual servoing library - documentation ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. . This package contains the Doxygen generated documentation Package: libvisp-gui-dev Description-md5: 97c0cfbc1a48d011f2202c61eec2c144 Description-en: development files for libvisp-gui This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) graphical user interface (GUI) library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-gui3.6t64 Description-md5: 94ef6bfca2f306c4ea3e987f4c036a40 Description-en: visual servoing platform gui library This package contains the ViSP (Visual Servoing Platform) graphical user interface (GUI) runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-imgproc-dev Description-md5: 884a8f52a702f8e575f12cde40b4bdf4 Description-en: development files for libvisp-imgproc This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) image processing library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-imgproc3.6t64 Description-md5: 3b32d63e1e15e2df80db4a44ee89e33a Description-en: visual servoing platform imgproc library This package contains the ViSP (Visual Servoing Platform) image processing runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-io-dev Description-md5: 132764cdb5266d26addea4ccb8160649 Description-en: development files for libvisp-io This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) input/output (io) library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-io3.6t64 Description-md5: 75eba5e7949c7258d1447af2a79bcd26 Description-en: visual servoing platform io library This package contains the ViSP (Visual Servoing Platform) input/output (io) runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-klt-dev Description-md5: 3d8b947af577bdc83ed14ca7f238c975 Description-en: development files for libvisp-klt This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) Lucas-Kanade-Tomasi (klt) tracking library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-klt3.6t64 Description-md5: d76494e754d66e285bd4d0fc2aeca775 Description-en: visual servoing platform klt tracking library This package contains the ViSP (Visual Servoing Platform) Lucas-Kanade-Tomasi (klt) tracking library runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-mbt-dev Description-md5: 4ef905f3bfd88cb1aaf47f8a9dfb87db Description-en: development files for libvisp-mbt This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) markerless 3D model-based tracker (mbt) library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-mbt3.6t64 Description-md5: e5b5a4f3d5ca3a5cab0efe768a5f5b62 Description-en: visual servoing platform mbt library This package contains the ViSP (Visual Servoing Platform) markerless 3D model-based tracker (mbt) runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-me-dev Description-md5: ef45f455d61ceaafe1dbaecb2bdbf2be Description-en: development files for libvisp-me This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) moving edges tracking (me) library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-me3.6t64 Description-md5: d4e52080323a34557bad3910ac094c84 Description-en: visual servoing platform me tracking library This package contains the ViSP (Visual Servoing Platform) moving edges (me) tracking runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-robot-dev Description-md5: 66635b89b426ab14ddf3d0e5d5565395 Description-en: development files for libvisp-robot This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) robot library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-robot3.6t64 Description-md5: 3495336908ed6ef8709b1034b62b4a5c Description-en: visual servoing platform robot library This package contains the ViSP (Visual Servoing Platform) robot runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-sensor-dev Description-md5: 837d6763b00212dcb289c617fc2f064e Description-en: development files for libvisp-sensor This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) sensor library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-sensor3.6t64 Description-md5: d22780ef60a5f960a54be616f0beb5fa Description-en: visual servoing platform sensor library This package contains the ViSP (Visual Servoing Platform) sensor runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-tt-dev Description-md5: 5f809beb1ffc33f9d4eb645f7f7e5571 Description-en: development files for libvisp-tt This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) template tracker (tt) library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-tt-mi-dev Description-md5: dd9023a96f2b7adc7abae026fbbebc72 Description-en: development files for libvisp-tt-mi This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) template tracker based on mutual information (tt-mi) library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-tt-mi3.6t64 Description-md5: 5620302e25a04185404cf8f6d2a17fd0 Description-en: visual servoing platform tt-mi library This package contains the ViSP (Visual Servoing Platform) template tracker based on mutual information (tt) runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-tt3.6t64 Description-md5: 27140bb41d5fee8974a51a6fae733196 Description-en: visual servoing platform tt library This package contains the ViSP (Visual Servoing Platform) template tracker (tt) runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-vision-dev Description-md5: cd2370c43443ad4045c9004fbce34d17 Description-en: development files for libvisp-vision This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) computer vision library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-vision3.6t64 Description-md5: 6da7966b585365da388baec94f216f3f Description-en: visual servoing platform vision library This package contains the ViSP (Visual Servoing Platform) computer vision runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-visual-features-dev Description-md5: 15f216225ebfb75ff37d5239d74f6c3c Description-en: development files for libvisp-visual-features This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) visual features library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-visual-features3.6t64 Description-md5: 7c969bed9f9d16ddc759182f2b465df9 Description-en: visual servoing platform visual-features library This package contains the ViSP (Visual Servoing Platform) visual features runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-vs-dev Description-md5: a027f1b1b9b05e56c7074161c17ecf49 Description-en: development files for libvisp-vs This package contains the header files and static library needed to compile applications that use ViSP (Visual Servoing Platform) visual serving (vs) library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvisp-vs3.6t64 Description-md5: 4f32787ae7ba0c1172a9be97ec9b95cb Description-en: visual servoing platform vs library This package contains the ViSP (Visual Servoing Platform) visual serving (vs) runtime library. . ViSP standing for Visual Servoing Platform is a modular cross platform library that allows prototyping and developing applications using visual tracking and visual servoing technics at the heart of the researches done by Inria Lagadic team. ViSP is able to compute control laws that can be applied to robotic systems. It provides a set of visual features that can be tracked using real time image processing or computer vision algorithms. ViSP provides also simulation capabilities. . ViSP can be useful in robotics, computer vision, augmented reality and computer animation. Package: libvted-3-0 Description-md5: 29a2e74e9f4b89ac82813be6bca0a6f8 Description-en: Terminal emulator widget for GTK+ - D bindings The VTE library provides a terminal emulator widget VteTerminal for applications using the GTK+ toolkit. It also provides the VtePTY object containing functions for starting a new process on a new pseudo-terminal and for manipulating pseudo-terminals. . This package contains the D language bindings for VTE. Package: libvted-3-dev Description-md5: 590b0a38475269ac36e9be17c7ea6e3b Description-en: Terminal emulator widget for GTK+ - development files for D The VTE library provides a terminal emulator widget VteTerminal for applications using the GTK+ toolkit. . This package contains development files needed to write applications in the D programming language that use VTE. Package: libweston-14-0 Description-md5: 2facd845ca0d169f8667aeba4083ec4d Description-en: reference implementation of a wayland compositor (shared libs) Part of the Wayland project is also the Weston reference implementation of a Wayland compositor. Weston can run as an X client or under Linux KMS and ships with a few demo clients. The Weston compositor is a minimal and fast compositor and is suitable for many embedded and mobile use cases. . This package includes the libweston shared libraries. Package: libweston-14-dev Description-md5: 37fe04933eb7e845c267566fa5e9b313 Description-en: reference implementation of a wayland compositor (headers) Part of the Wayland project is also the Weston reference implementation of a Wayland compositor. Weston can run as an X client or under Linux KMS and ships with a few demo clients. The Weston compositor is a minimal and fast compositor and is suitable for many embedded and mobile use cases. . This package includes the libweston headers. Package: libx32gcc-12-dev Description-md5: df49fd36cacd441ececa361c98eaaa4a Description-en: GCC support library (x32 development files) This package contains the headers and static library files necessary for building C programs which use libgcc, libgomp, libquadmath, libssp or libitm. Package: libx32gcc-13-dev Description-md5: df49fd36cacd441ececa361c98eaaa4a Description-en: GCC support library (x32 development files) This package contains the headers and static library files necessary for building C programs which use libgcc, libgomp, libquadmath, libssp or libitm. Package: libx32gfortran-12-dev Description-md5: aae616b6d05c4081844ad0d321e187db Description-en: Runtime library for GNU Fortran applications (x32 development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: libx32gfortran-13-dev Description-md5: aae616b6d05c4081844ad0d321e187db Description-en: Runtime library for GNU Fortran applications (x32 development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: libx32gfortran-15-dev Description-md5: aae616b6d05c4081844ad0d321e187db Description-en: Runtime library for GNU Fortran applications (x32 development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: libx32go-12-dev Description-md5: e36fc6f7d9da2b503ade97820475f44a Description-en: Runtime library for GNU Go applications (x32 development files) This package contains the headers and static library files needed to build GNU Go applications. Package: libx32go-13-dev Description-md5: e36fc6f7d9da2b503ade97820475f44a Description-en: Runtime library for GNU Go applications (x32 development files) This package contains the headers and static library files needed to build GNU Go applications. Package: libx32go21 Description-md5: 274d67a4807b2168ae6370f3e1f6a565 Description-en: Runtime library for GNU Go applications (x32) Library needed for GNU Go applications linked against the shared library. Package: libx32go22 Description-md5: 274d67a4807b2168ae6370f3e1f6a565 Description-en: Runtime library for GNU Go applications (x32) Library needed for GNU Go applications linked against the shared library. Package: libx32gphobos-12-dev Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca Description-en: Phobos D standard library (x32 development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libx32gphobos-13-dev Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca Description-en: Phobos D standard library (x32 development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libx32gphobos-14-dev Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca Description-en: Phobos D standard library (x32 development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libx32gphobos-15-dev Description-md5: cdbbfaf18bf8097f4f6c5141edaea0ca Description-en: Phobos D standard library (x32 development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libx32gphobos3 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libx32gphobos4 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libx32gphobos5 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libx32gphobos6 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: libx32objc-12-dev Description-md5: f7dcb47d6608005e0ecbde60e08685b0 Description-en: Runtime library for GNU Objective-C applications (x32 development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: libx32objc-13-dev Description-md5: f7dcb47d6608005e0ecbde60e08685b0 Description-en: Runtime library for GNU Objective-C applications (x32 development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: libx32objc-14-dev Description-md5: f7dcb47d6608005e0ecbde60e08685b0 Description-en: Runtime library for GNU Objective-C applications (x32 development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: libx32objc-15-dev Description-md5: f7dcb47d6608005e0ecbde60e08685b0 Description-en: Runtime library for GNU Objective-C applications (x32 development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: libx32objc4 Description-md5: e253306fc795d9cc9e7c2acac0d2ad1b Description-en: Runtime library for GNU Objective-C applications (x32) Library needed for GNU ObjC applications linked against the shared library. Package: libx32stdc++-12-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: libx32stdc++-13-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: libx32stdc++-15-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: libx32stdc++6-12-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: libx32stdc++6-13-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: libx32stdc++6-14-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: libx32stdc++6-15-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: libxmlada-doc Description-md5: a971803e980f758d6458db88432e6c73 Description-en: XML/Ada, a full XML suite for Ada programmers (documentation) XML/Ada is a set of modules that provide a simple manipulation of XML streams. It supports the whole XML 1.0 specifications, and can parse any file that follows this standard (including the contents of the DTD). It also provides support for a number of other standard associated with XML, like SAX, DOM, and XML Schemas. In addition, it includes a module to manipulate Unicode streams, since this is required by the XML standard. . This package contains the documentation in text, PDF and HTML. Package: libxmlada-dom-dev Description-md5: c3e792418bd30d2fd2274e5f8dbb3206 Description-en: XML/Ada, a full XML suite for Ada programmers (dom) XML/Ada is a set of modules that provide a simple manipulation of XML streams. It supports the whole XML 1.0 specifications, and can parse any file that follows this standard (including the contents of the DTD). It also provides support for a number of other standard associated with XML, like SAX, DOM, and XML Schemas. In addition, it includes a module to manipulate Unicode streams, since this is required by the XML standard. . This package contains the development tools for the dom module. Package: libxmlada-dom9 Description-md5: 9bd9bbfa87ec6fa5c21495710aae1901 Description-en: XML/Ada, a full XML suite for Ada programmers (dom runtime) XML/Ada is a set of modules that provide a simple manipulation of XML streams. It supports the whole XML 1.0 specifications, and can parse any file that follows this standard (including the contents of the DTD). It also provides support for a number of other standard associated with XML, like SAX, DOM, and XML Schemas. In addition, it includes a module to manipulate Unicode streams, since this is required by the XML standard. . This package contains the dom runtime shared library. Package: libxmlada-input-dev Description-md5: 30715222a1bf654d9b951b7ad78ebed0 Description-en: XML/Ada, a full XML suite for Ada programmers (input sources) XML/Ada is a set of modules that provide a simple manipulation of XML streams. It supports the whole XML 1.0 specifications, and can parse any file that follows this standard (including the contents of the DTD). It also provides support for a number of other standard associated with XML, like SAX, DOM, and XML Schemas. In addition, it includes a module to manipulate Unicode streams, since this is required by the XML standard. . This package contains the development tools for the input sources module. Package: libxmlada-input8 Description-md5: a644612a8d8e04792655e04f87c00da7 Description-en: XML/Ada, a full XML suite for Ada programmers (input sources runtime) XML/Ada is a set of modules that provide a simple manipulation of XML streams. It supports the whole XML 1.0 specifications, and can parse any file that follows this standard (including the contents of the DTD). It also provides support for a number of other standard associated with XML, like SAX, DOM, and XML Schemas. In addition, it includes a module to manipulate Unicode streams, since this is required by the XML standard. . This package contains the input sources runtime shared library. Package: libxmlada-sax-dev Description-md5: e11a888973742c79d168f5c9ceca6079 Description-en: XML/Ada, a full XML suite for Ada programmers (sax) XML/Ada is a set of modules that provide a simple manipulation of XML streams. It supports the whole XML 1.0 specifications, and can parse any file that follows this standard (including the contents of the DTD). It also provides support for a number of other standard associated with XML, like SAX, DOM, and XML Schemas. In addition, it includes a module to manipulate Unicode streams, since this is required by the XML standard. . This package contains the development tools for the sax module. Package: libxmlada-sax8 Description-md5: 7543c14a6a51ea5f5056e02ce3d40c1b Description-en: XML/Ada, a full XML suite for Ada programmers (sax runtime) XML/Ada is a set of modules that provide a simple manipulation of XML streams. It supports the whole XML 1.0 specifications, and can parse any file that follows this standard (including the contents of the DTD). It also provides support for a number of other standard associated with XML, like SAX, DOM, and XML Schemas. In addition, it includes a module to manipulate Unicode streams, since this is required by the XML standard. . This package contains the sax runtime shared library. Package: libxmlada-schema-dev Description-md5: 85149c6929770758020c060e5577e5ca Description-en: XML/Ada, a full XML suite for Ada programmers (schema) XML/Ada is a set of modules that provide a simple manipulation of XML streams. It supports the whole XML 1.0 specifications, and can parse any file that follows this standard (including the contents of the DTD). It also provides support for a number of other standard associated with XML, like SAX, DOM, and XML Schemas. In addition, it includes a module to manipulate Unicode streams, since this is required by the XML standard. . This package contains the development tools for the schema module, and an xmlada.gpr project importing the whole XML/Ada library. Package: libxmlada-schema8 Description-md5: 2ad7a27da2180152a950a98d6b3423ee Description-en: XML/Ada, a full XML suite for Ada programmers (schema runtime) XML/Ada is a set of modules that provide a simple manipulation of XML streams. It supports the whole XML 1.0 specifications, and can parse any file that follows this standard (including the contents of the DTD). It also provides support for a number of other standard associated with XML, like SAX, DOM, and XML Schemas. In addition, it includes a module to manipulate Unicode streams, since this is required by the XML standard. . This package contains the schema runtime shared library. Package: libxmlada-unicode-dev Description-md5: 7c9f2b21d64e3be7976a7afb0cce9ad7 Description-en: XML/Ada, a full XML suite for Ada programmers (unicode) XML/Ada is a set of modules that provide a simple manipulation of XML streams. It supports the whole XML 1.0 specifications, and can parse any file that follows this standard (including the contents of the DTD). It also provides support for a number of other standard associated with XML, like SAX, DOM, and XML Schemas. In addition, it includes a module to manipulate Unicode streams, since this is required by the XML standard. . This package contains the development tools for the unicode module. Package: libxmlada-unicode8 Description-md5: 20338fdf91d95dca39805a05a29c1e7e Description-en: XML/Ada, a full XML suite for Ada programmers (unicode runtime) XML/Ada is a set of modules that provide a simple manipulation of XML streams. It supports the whole XML 1.0 specifications, and can parse any file that follows this standard (including the contents of the DTD). It also provides support for a number of other standard associated with XML, like SAX, DOM, and XML Schemas. In addition, it includes a module to manipulate Unicode streams, since this is required by the XML standard. . This package contains the unicode runtime shared library. Package: libxmlezout-dev Description-md5: a6cd3eef7937b641524668b732209bcf Description-en: Easy-to-use library for emitting XML from Ada programs, dev files XML EZ Out is a small set of packages intended to aid the creation of XML-formatted output from within Ada programs. It basically wraps the tags and data provided to it with XML syntax and writes them to a user-supplied medium. . This medium can be any sort of writable entity, such as a file, a memory buffer, or even a communications link, such as a socket. The only functionality required of the medium is that it supply a meaningful "Put" (for writing a string) and "New_Line" procedure. . This package contains file needed to develop applications with XML EZ OUT. Package: libxmlezout8 Description-md5: 5d6668f857c0e719d1060660427e1b18 Description-en: Easy-to-use library for emitting XML from Ada programs, runtime XML EZ Out is a small set of packages intended to aid the creation of XML-formatted output from within Ada programs. It basically wraps the tags and data provided to it with XML syntax and writes them to a user-supplied medium. . This medium can be any sort of writable entity, such as a file, a memory buffer, or even a communications link, such as a socket. The only functionality required of the medium is that it supply a meaningful "Put" (for writing a string) and "New_Line" procedure. . This is the runtime library for XML EZ OUT. Package: libzadc-dev Description-md5: f17d42635224c91e66d835b12e64490e Description-en: accelerated libz implementation (development headers) Accelerated libz implementation uses FPGA based PCIe cards (e.g. zEDC) to accelerate compression/decompression. . This package provides headers and development libraries. Package: libzadc4 Description-md5: 4899caf4ba1ec5289dd3b0f59c13b577 Description-en: accelerated libz implementation (Accelerated Data Compression/ADC) Accelerated libz implementation uses FPGA based PCIe cards (e.g. zEDC) to accelerate compression/decompression. . This package provides public libzADC library, and libz library symlinks which are not exposed in the ldconfig paths. Package: linphone-cli Description-md5: 182591eee3e2e23b6f780536fba3050b Description-en: SIP softphone - console-only client Linphone is an audio and video internet phone using the SIP protocol. It has a GTK+ and console interface, includes a large variety of audio and video codecs, and provides IM features. . This package contains the console version of linphone. Package: linphone-common Description-md5: e8f0bd525e910718b4254080d72b90b0 Description-en: Shared components of the linphone SIP softphone Linphone is an audio and video internet phone using the SIP protocol. It has a GTK+ and console interface, includes a large variety of audio and video codecs, and provides IM features. . This package contains the resource files of linphone (the rings). Package: linux-buildinfo-6.14.0-1001-realtime Description-md5: ec2fc3cb7731c6cfdbe5ac8048fe3702 Description-en: Linux kernel buildinfo for version 6.14.0 This package contains the Linux kernel buildinfo for version 6.14.0. . You likely do not want to install this package. Package: linux-cloud-tools-6.14.0-1001-realtime Description-md5: 5c6767b78262e893995a79c2b48e0f0b Description-en: Linux kernel version specific cloud tools for version 6.14.0-1001 This package provides the architecture dependant parts for kernel version locked tools for cloud for version 6.14.0-1001. Package: linux-headers-6.14.0-1001-realtime Description-md5: ea22ab74c2b5ff23f098d6a50a58b8e2 Description-en: Linux kernel headers for version 6.14.0 This package provides kernel header files for version 6.14.0. . This is for sites that want the latest kernel headers. Please read /usr/share/doc/linux-headers-6.14.0-1001/debian.README.gz for details. Package: linux-headers-realtime Description-md5: 1fb7050c3c218b8c47691a2e7eec32d5 Description-en: Linux kernel headers for real-time systems. This package will always depend on the latest kernel headers available for real-time systems. Package: linux-headers-realtime-hwe-24.04 Description-md5: 1fb7050c3c218b8c47691a2e7eec32d5 Description-en: Linux kernel headers for real-time systems. This package will always depend on the latest kernel headers available for real-time systems. Package: linux-headers-realtime-hwe-24.04-edge Description-md5: 1fb7050c3c218b8c47691a2e7eec32d5 Description-en: Linux kernel headers for real-time systems. This package will always depend on the latest kernel headers available for real-time systems. Package: linux-image-6.14.0-1001-realtime Description-md5: 79beaec48d8d231cee72ce47d9c6703a Description-en: Signed kernel image realtime A kernel image for realtime. This version of it is signed with Canonical's signing key. Package: linux-image-realtime Description-md5: 4d8e8a68a3c6fa13675164144e03fdc4 Description-en: Linux kernel image for real-time systems. This package will always depend on the latest kernel image available for real-time systems. Package: linux-image-realtime-hwe-24.04 Description-md5: 4d8e8a68a3c6fa13675164144e03fdc4 Description-en: Linux kernel image for real-time systems. This package will always depend on the latest kernel image available for real-time systems. Package: linux-image-realtime-hwe-24.04-edge Description-md5: 4d8e8a68a3c6fa13675164144e03fdc4 Description-en: Linux kernel image for real-time systems. This package will always depend on the latest kernel image available for real-time systems. Package: linux-image-uc-6.14.0-1001-realtime Description-md5: 4486f66e0915cfd3a51148eb11dff06e Description-en: Signed kernel image realtime for Ubuntu Core A kernel image for realtime. This version of it is signed with Canonical's signing key. Package: linux-image-uc-realtime Description-md5: 29a675b2e61f0aabe39a2e2e36dc563a Description-en: Linux kernel image for Ubuntu Core for real-time systems. This package will always depend on the latest realtime kernel image available. . This kernel is suitable for staging into a kernel snap, and is not suitable to be used directly on classic systems. Package: linux-image-uc-realtime-hwe-24.04 Description-md5: 29a675b2e61f0aabe39a2e2e36dc563a Description-en: Linux kernel image for Ubuntu Core for real-time systems. This package will always depend on the latest realtime kernel image available. . This kernel is suitable for staging into a kernel snap, and is not suitable to be used directly on classic systems. Package: linux-image-uc-realtime-hwe-24.04-edge Description-md5: 29a675b2e61f0aabe39a2e2e36dc563a Description-en: Linux kernel image for Ubuntu Core for real-time systems. This package will always depend on the latest realtime kernel image available. . This kernel is suitable for staging into a kernel snap, and is not suitable to be used directly on classic systems. Package: linux-image-unsigned-6.14.0-1001-realtime Description-md5: e2acc4e406fcaf342071736c5f7fa68f Description-en: Linux kernel image for version 6.14.0 This package contains the unsigned Linux kernel image for version 6.14.0. . Supports Realtime processors. . Geared toward IoT, embedded devices and server systems. . You likely do not want to install this package directly. Instead, install the linux-realtime meta-package, which will ensure that upgrades work correctly, and that supporting packages are also installed. Package: linux-modules-6.14.0-1001-realtime Description-md5: 0c4215c7bc0e4c46bbc5ada862c0f9cb Description-en: Linux kernel extra modules for version 6.14.0 Contains the corresponding System.map file, the modules built by the packager, and scripts that try to ensure that the system is not left in an unbootable state after an update. . Supports Realtime processors. . Geared toward IoT, embedded devices and server systems. . You likely do not want to install this package directly. Instead, install the linux-realtime meta-package, which will ensure that upgrades work correctly, and that supporting packages are also installed. Package: linux-modules-extra-6.14.0-1001-realtime Description-md5: 4f5c7d900fa6ec4aa359e72c42a14cd0 Description-en: Linux kernel extra modules for version 6.14.0 This package contains the Linux kernel extra modules for version 6.14.0. . Also includes the corresponding System.map file, the modules built by the packager, and scripts that try to ensure that the system is not left in an unbootable state after an update. . Supports Realtime processors. . Geared toward IoT, embedded devices and server systems. . You likely do not want to install this package directly. Instead, install the linux-realtime meta-package, which will ensure that upgrades work correctly, and that supporting packages are also installed. Package: linux-modules-iwlwifi-6.14.0-1001-realtime Description-md5: f042267e48437edcc6bddc19503a5980 Description-en: Linux kernel iwlwifi modules for version 6.14.0-1001 This package provides the Linux kernel iwlwifi modules for version 6.14.0-1001. . You likely do not want to install this package directly. Instead, install the one of the linux-modules-iwlwifi-realtime* meta-packages, which will ensure that upgrades work correctly, and that supporting packages are also installed. Package: linux-modules-iwlwifi-realtime Description-md5: 25d29f1abf8be02ac75c569e90fb7766 Description-en: Extra drivers for iwlwifi for the realtime flavour Install extra signed iwlwifi modules compatible with the realtime flavour. Package: linux-modules-iwlwifi-realtime-hwe-24.04 Description-md5: 25d29f1abf8be02ac75c569e90fb7766 Description-en: Extra drivers for iwlwifi for the realtime flavour Install extra signed iwlwifi modules compatible with the realtime flavour. Package: linux-modules-iwlwifi-realtime-hwe-24.04-edge Description-md5: 25d29f1abf8be02ac75c569e90fb7766 Description-en: Extra drivers for iwlwifi for the realtime flavour Install extra signed iwlwifi modules compatible with the realtime flavour. Package: linux-realtime Description-md5: 501c5bb8667bbaf81d7ceb2e150750bf Description-en: Complete Linux kernel for real-time systems. This package will always depend on the latest complete Linux kernel available for real-time systems. Package: linux-realtime-cloud-tools-6.14.0-1001 Description-md5: eda0b796da36a342ad9dbe2a75735459 Description-en: Linux kernel version specific cloud tools for version 6.14.0-1001 This package provides the architecture dependant parts for kernel version locked tools for cloud tools for version 6.14.0-1001. You probably want to install linux-cloud-tools-6.14.0-1001-. Package: linux-realtime-headers-6.14.0-1001 Description-md5: edd6ff4f5a653637ca2db529217b6d6b Description-en: Header files related to Linux kernel version 6.14.0 This package provides kernel header files for version 6.14.0, for sites that want the latest kernel headers. Please read /usr/share/doc/linux-realtime-headers-6.14.0-1001/debian.README.gz for details Package: linux-realtime-hwe-24.04 Description-md5: 501c5bb8667bbaf81d7ceb2e150750bf Description-en: Complete Linux kernel for real-time systems. This package will always depend on the latest complete Linux kernel available for real-time systems. Package: linux-realtime-hwe-24.04-edge Description-md5: 501c5bb8667bbaf81d7ceb2e150750bf Description-en: Complete Linux kernel for real-time systems. This package will always depend on the latest complete Linux kernel available for real-time systems. Package: linux-realtime-tools-6.14.0-1001 Description-md5: b56e930bdf57451d63f757e1c519fcfe Description-en: Linux kernel version specific tools for version 6.14.0-1001 This package provides the architecture dependant parts for kernel version locked tools (such as perf and x86_energy_perf_policy) for version 6.14.0-1001. You probably want to install linux-tools-6.14.0-1001-. Package: linux-tools-6.14.0-1001-realtime Description-md5: 5c9d35a33f7edbb193fb0ae63c7b0ce1 Description-en: Linux kernel version specific tools for version 6.14.0-1001 This package provides the architecture dependant parts for kernel version locked tools (such as perf and x86_energy_perf_policy) for version 6.14.0-1001. Package: linux-tools-realtime Description-md5: a1c8d46b6984ca252691fcab24173d81 Description-en: Linux kernel versioned tools for real-time systems. This package will always depend on the latest Linux kernel versioned tools available for real-time systems. Package: linux-tools-realtime-hwe-24.04 Description-md5: a1c8d46b6984ca252691fcab24173d81 Description-en: Linux kernel versioned tools for real-time systems. This package will always depend on the latest Linux kernel versioned tools available for real-time systems. Package: linux-tools-realtime-hwe-24.04-edge Description-md5: a1c8d46b6984ca252691fcab24173d81 Description-en: Linux kernel versioned tools for real-time systems. This package will always depend on the latest Linux kernel versioned tools available for real-time systems. Package: locales-all Description-md5: c360552536cba624a24a347b513939dd Description-en: GNU C Library: Precompiled locale data This package contains the precompiled locale data for all supported locales. A better alternative is to install the locales package and only select desired locales, but it can be useful on a low-memory machine because some locale files take a lot of memory to be compiled. Package: loupe Description-md5: 1ec1e354b2b28df4edfb551f3037b6ee Description-en: Image viewer for GNOME Loupe is a simple image viewer for the GNOME desktop. It uses glycin-loaders for image loading and decoding. A wide range of image formats is supported. Basic image editing like cropping and rotation is supported. Starting with GNOME 45 this is the default image viewer. Package: luanti Description-md5: 46a71236006e09ab84f36f0bce323ecd Description-en: Multiplayer infinite-world block sandbox Luanti is a Minecraft-inspired game written from scratch and licensed under the LGPL (version 2.1 or later). It supports both survival and creative modes along with multiplayer support, dynamic lighting, and an "infinite" map generator. Package: luanti-data Description-md5: 51dbeed59801466d04e1ecd66a49fe32 Description-en: Multiplayer infinite-world block sandbox (data files) Luanti is a Minecraft-inspired game written from scratch and licensed under the LGPL (version 2.1 or later). It supports both survival and creative modes along with multiplayer support, dynamic lighting, and an "infinite" map generator. . These are the files used by both client and server packages, such as the textures and other graphical files and the standard mods. Package: luanti-server Description-md5: 029dc3d953333309db86e41e9ba12eb8 Description-en: Multiplayer infinite-world block sandbox (server) Luanti is a minecraft-inspired game written from scratch and licensed under the LGPL (version 2.1 or later). It supports both survival and creative modes along with multiplayer support, dynamic lighting, and an "infinite" map generator. . This is the Luanti server package. Package: lziprecover Description-md5: 2887aca0f9e420036b6fe08744ec51db Description-en: lossless data compressor based on the LZMA algorithm (recovery) Lzip is a lossless data compressor based on the LZMA algorithm, with very safe integrity checking and a user interface similar to the one of gzip or bzip2. Lzip decompresses almost as fast as gzip and compresses better than bzip2, which makes it well suited for software distribution and data archiving. . This package contains the recovery tool. Package: mame Description-md5: c1a979c3e6a14cb9a8592744c96896b9 Description-en: Multiple Arcade Machine Emulator (MAME) MAME is a hardware emulator: it faithfully reproduces the behavior of many arcade machines (it is not a simulation). This program is not a game but can directly, through ROM images, run the complete system of these old arcade machines. These ROMs are subject to copyright and it is in most of the cases illegal to use them if you do not own the arcade machine. . This package provides the MAME binary and configuration files. Package: mame-data Description-md5: 27aa8eeb29427781c6e9e72d51d2690d Description-en: Multiple Arcade Machine Emulator (MAME) -- data files MAME is a hardware emulator: it faithfully reproduces the behavior of many arcade machines (it is not a simulation). This program is not a game but can directly, through ROM images, run the complete system of these old arcade machines. These ROMs are subject to copyright and it is in most of the cases illegal to use them if you do not own the arcade machine. . This package provides MAME hash files, keymaps, artwork, language files, shaders, plugins and audio samples. Package: mame-doc Description-md5: fc684dc2c19a0b271a0a379506e6e4d2 Description-en: Documentation for MAME MAME is a hardware emulator: it faithfully reproduces the behavior of many arcade machines (it is not a simulation). . This is sphinx-generated full documentation for the MAME system. Package: mame-tools Description-md5: dee534e2169e1d0d3ba8c37d79f13158 Description-en: Tools for MAME MAME is a hardware emulator: it faithfully reproduces the behavior of many arcade machines (it is not a simulation). . This package provides tools to be used with MAME. It currently contains: castool -- generic cassette manipulation tool for MAME chdman -- MAME Compressed Hunks of Data CHD manager floptool -- Generic floppy image manipulation tool for MESS imgtool -- generic image manipulation tool for MAME jedutil -- binary to/from JEDEC file converter ldresample -- Laserdisc audio synchronizer and resampler ldverify -- Laserdisc AVI/CHD verifier romcmp -- ROM comparison utility program Package: mazeofgalious Description-md5: 1b19fa32b997787ba111848b43d533d6 Description-en: The Maze of Galious This is a very addictive game where you have to kill thousands of enemies, collect items in order to obtain new powers, and defeat some really great guys at the end of each level. You are free to go everywhere you want from the beginning of the game. You have to choose very carefully the order in which you visit all the rooms in the huge map if you want to keep your character alive. The map is structured in a main map (called the castle), and 10 submaps (called the worlds). Initially you are in the castle, and you have to find the keys that open the doors that go to each of the worlds. To complete the game, you have to defeat the boss at the end of each one of the 10 worlds. You are free to revisit each world as often as you want, in order to see if you have missed something. To defeat all 10 beasts, you control two characters: Popolon and Aphrodite, and each one has special abilities, i.e. Popolon has a greater ability to jump and Aphrodite is able to dive. Package: mazeofgalious-data Description-md5: 40e92f843552340aeb706896f2bf8947 Description-en: The Maze of Galious This package contains graphics, leveldata, sounds, and music needed for the game. Package: mediastreamer2-plugin-msqogl Description-md5: d6aab2b660c4fceeb15d1b25c7d42b78 Description-en: Voice and video streaming engine for telephony (OpenGL) Mediastreamer2 is a powerful and lightweight streaming engine specially designed for voice/video telephony applications. . This open source library is responsible for all receiving and sending of multimedia streams in Linphone, including voice/video capture, encoding, decoding, and rendering. . This package contains a plugin needed for OpenGL-accelerated video telephony support. Package: mediastreamer2-plugin-openh264 Description-md5: 8e4aa1a63a8442001df35c060d8326d0 Description-en: OpenH264 plugin for Mediastreamer2 (plugin) This package contains a plugin for the Mediastreamer2 streaming framework enabling the use of the OpenH264 codec. Package: meep Description-md5: 684bc85e134e63461d18af5de507f4fa Description-en: software package for FDTD simulation Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains the software. Package: meep-mpi-default Description-md5: 6198a7d46f906d4991bd7b01ba7fd795 Description-en: software package for FDTD simulation, parallel version Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains binaries of the OpenMPI version on 64bit architectures and the MPICH version on 32bit architectures. Package: minetest Description-md5: dccbeb465e95ba59db2c595f92e470bd Description-en: transitional package Minetest has been renamed to Luanti. This is a transitional package. It can safely be removed. Package: minetest-data Description-md5: dccbeb465e95ba59db2c595f92e470bd Description-en: transitional package Minetest has been renamed to Luanti. This is a transitional package. It can safely be removed. Package: minetest-server Description-md5: dccbeb465e95ba59db2c595f92e470bd Description-en: transitional package Minetest has been renamed to Luanti. This is a transitional package. It can safely be removed. Package: mir-demos Description-md5: 438fbed9a91dcd2671ec41b04913bd86 Description-en: Mir Display Server - demonstration programs Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains demo applications that use the Mir display server Package: mir-graphics-drivers-desktop Description-md5: 59d745ac811598ba73a8e13e20ca3dd2 Description-en: Mir Display Server - desktop driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics and input drivers for traditional desktop systems. Package: mir-graphics-drivers-nvidia Description-md5: c0424baaf74e4b1256606a561265ab82 Description-en: Mir Display Server - Nvidia driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics drivers for Nvidia systems. Package: mir-platform-graphics-eglstream-kms Description-md5: 2b191965ce82ac99a8d25828a19dfdb9 Description-en: Mir Display Server - eglstream-kms driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics and input drivers for eglstream-kms systems. Package: mir-platform-graphics-eglstream-kms20 Description-md5: de3eb683c5cd18107a001c6710326ebe Description-en: Mir Display Server - platform library for NVIDIA Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to interact with the hardware platform using the EGLStream EGL extensions, such as the NVIDIA binary driver. Package: mir-platform-graphics-gbm-kms Description-md5: 05b58bd09bf49946527e9b9ec5127458 Description-en: Mir Display Server - gbm-kms driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics and input drivers for gbm-kms systems. Package: mir-platform-graphics-gbm-kms20 Description-md5: d66e80bece6e0ba55c34a0d691029ac2 Description-en: Mir Display Server - platform library for KMS Mesa Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to interact with the hardware platform using the Mesa drivers. Package: mir-platform-graphics-wayland Description-md5: d6462affe2c9705c94330ef888c61bec Description-en: Mir Display Server - wayland driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics and input drivers for wayland systems. Package: mir-platform-graphics-wayland20 Description-md5: d1ae9e6e928dbbb17a0576cc2c04998d Description-en: Mir Display Server - platform library for Wayland Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to interact with a "host" Wayland display server. Package: mir-platform-graphics-x Description-md5: 91ffd09dd18fe029f248419094a716e8 Description-en: Mir Display Server - x driver metapackage Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on a full set of graphics and input drivers for X systems. Package: mir-platform-graphics-x20 Description-md5: 472a7b047101bb76476a2d980f65a30f Description-en: Mir Display Server - platform library for X11 Mesa Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to interact with the X11 platform using the Mesa drivers. Package: mir-platform-input-evdev8 Description-md5: 90e53d61146b870f3030f3931198c9fc Description-en: Mir Display Server - input platform library Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to interact with the input hardware using the evdev interface. Package: mir-platform-rendering-egl-generic Description-md5: e8328d4b0868253b7e48b1a46264ef90 Description-en: Mir Display Server - EGL rendering provider metapackage Mir is a display server running on linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . This package depends on the current provider of accelerated client rendering support via standard EGL interfaces. Package: mir-platform-rendering-egl-generic20 Description-md5: c53bba5d9431201a53a8903842cca1d1 Description-en: Mir Display Server - generic EGL rendering platform Mir is a display server running on linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains the shared libraries required for the Mir server to provide accelerated client rendering via standard EGL interfaces. Package: mir-test-tools Description-md5: 94ca9c295a0197948e62bb50b87dc42c Description-en: Mir Display Server - stress tests and other test tools Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains tools for smoke and performance testing the Mir display server Package: mir-wlcs-integration Description-md5: 71b3e542b35358f2a9a5d28123cd64fe Description-en: Mir Display Server - wlcs integration Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains libraries for integration with the wlcs test suite Package: mirtest-dev Description-md5: 32cc63ccfaab1c888ad772a208dcc7e8 Description-en: Mir Display Server - test development headers and library Mir is a display server running on Linux systems, with a focus on efficiency, robust operation and a well-defined driver model. . Contains header files and static library for testing of server and/or client development. Package: mkdocstrings Description-md5: 9e2633c74d28c778b03ab29ca13546f3 Description-en: Automatic Python documentation from sources for MkDocs MkDocs is a fast, simple and downright gorgeous static site generator that's geared towards building project documentation. Documentation source files are written in Markdown, and configured with a single YAML configuration file. . This package contains an plugin for MkDocs to build automatic documentation from docstrings within your source code files. Package: moarvm Description-md5: f6375ab5929d033bf1518633670567bb Description-en: virtual machine for Rakudo Perl 6 and NQP Short for "Metamodel On A Runtime", MoarVM is a modern virtual machine built for the Rakudo Raku (formerly Perl 6) compiler and the NQP Compiler Toolchain. MoarVM is used by the majority of Raku programmers. . Highlights include: * Great Unicode support, with strings represented at grapheme level * Dynamic analysis of running code to identify hot functions and loops, and perform a range of optimizations, including type specialization and inlining * Support for threads, a range of concurrency control constructs, and asynchronous sockets, timers, processes, and more * Generational, parallel, garbage collection * Support for numerous language features, including first class functions, exceptions, continuations, runtime loading of code, big integers and interfacing with native libraries Package: moarvm-dev Description-md5: 40df8c052a76d2c2c59087bcc7e53864 Description-en: development files for moarvm Storing 'Short for "Metamodel On A Runtime", MoarVM is a modern virtual machine built for the Rakudo Raku (formerly Perl 6) compiler and the NQP Compiler Toolchain. MoarVM is used by the majority of Raku programmers. . This package contains the developments files required to compile Nqp and Rakudo. Package: moosefs-cgi Description-md5: d0888f5ccae05efbe5153827eb859c72 Description-en: MooseFS - CGI monitor CGI application to monitor MooseFS through master/metadata server. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-cgiserv Description-md5: 42c731d320b3c4b7113789a31b12ff7a Description-en: simple CGI-capable HTTP server to run MooseFS CGI monitor Simple standalone CGI-capable HTTP server to run MooseFS CGI Monitor. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-chunkserver Description-md5: faa741b8876d1e74e40394b9c4ee7f40 Description-en: MooseFS - data server The MooseFS data server. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-cli Description-md5: 2a8f1b3f2de5c68f622f0b51027af691 Description-en: MooseFS CLI utility MooseFS monitoring utility which can be used for showing list of connected chunkservers, clients, health of the system, some stats etc. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-client Description-md5: 0a3bd90a33471b0442fa64680b84902c Description-en: MooseFS - client tools and mount utility Moosefs FUSE mount utility "mfsmount" and client tools. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-common Description-md5: 3900b31ed61dab4ad73fcbdec34483cb Description-en: MooseFS - common files This is an empty package to facilitate creation of "mfs" user for MooseFS daemons and to own "/etc/mfs" and "/var/lib/mfs" directories. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-master Description-md5: 1ecaccf30048af994d54a9627406d1c4 Description-en: MooseFS - master server MooseFS master (metadata) server. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-metalogger Description-md5: 67a3a8a980351bf2c53e2c95684efa9f Description-en: MooseFS - metalogger server MooseFS metadata replication (backup) server. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: moosefs-netdump Description-md5: f7d268191838a37586d173b660e15f16 Description-en: MooseFS network packet dump utility MooseFS monitoring tool "mfsnetdump" utility which can interpret MooseFS network traffic and show it in colorful form. . MooseFS (MFS) is a fault tolerant, scaling-out, network distributed file system. It spreads data over several physical servers which are visible to the user as one resource. For standard file operations MooseFS mounted with FUSE acts as other Unix-alike file systems: . * A hierarchical structure (directory tree). * POSIX file attributes (permissions, last access and modification times). * Special files (block and character devices, pipes and sockets). * Symbolic links and hard links. * Access control based on IP address and/or password. . Distinctive features of MooseFS are: . * High availability. * Fault tolerance. * Strong integrity check. * Capacity is dynamically expandable by simply adding new computers/disks. * Deleted files are retained for a configurable period of time (a file system level "trash bin"). * Coherent snapshots of files, even during I/O. Package: mopac Description-md5: 06f2c86d7c4d2e76a74f40337a84d774 Description-en: Molecular Orbital PACkage (MOPAC) MOPAC is a general-purpose semiempirical molecular orbital package for the study of solid state and molecular structures and reactions. . The semiempirical Hamiltonians MNDO, AM1, PM3, PM6, RM1, MNDO-d and PM7 are used in the electronic part of the calculation to obtain molecular orbitals, the heat of formation and its derivative with respect to molecular geometry. . Using these results MOPAC calculates the vibrational spectra, thermodynamic quantities, isotopic substitution effects and force constants for molecules, radicals, ions, and polymers. For studying chemical reactions, a transition state location routine and two transition state optimizing routines are available. For users to get the most out of the program, they must understand how the program works, how to enter data, how to interpret the results, and what to do when things go wrong. Package: mp4h Description-md5: 50dc119daee9730d3000394b409596f6 Description-en: Macro processor for HTML documents Mp4h is a macro processor specifically designed for HTML documents, with powerful programming features. It allows definition and expansion of new tags with a syntax familiar to HTML authors. . Mp4h is a core component of the Website Meta Language (WML). Package: mwrap Description-md5: 837ed1ddf8be236eed910832e9857faa Description-en: Octave/MATLAB mex generator MWrap is an interface generation system in the spirit of SWIG or matwrap. From a set of augmented Octave/MATLAB script files, MWrap will generate a MEX gateway to desired C/C++ function calls and Octave/MATLAB function files to access that gateway. The details of converting to and from Octave/MATLAB's data structures, and of allocating and freeing temporary storage, are hidden from the user. Package: mysql-source-8.0 Description-md5: 88f58941059fab032315a68e3122ac60 Description-en: MySQL source MySQL is a fast, stable, and true multi-user, multi-threaded SQL database server. SQL (Structured Query Language) is the most popular database query language in the world. The main goals of MySQL are speed, robustness and ease of use. . This package includes the MySQL source code as configured before building - but after the arch specific configuration. This is currently required for building plugins. Package: mysql-testsuite-8.0 Description-md5: ccc32250aefb33a80993be5dab467ee4 Description-en: MySQL 8.0 testsuite MySQL is a fast, stable, and true multi-user, multi-threaded SQL database server. SQL (Structured Query Language) is the most popular database query language in the world. The main goals of MySQL are speed, robustness and ease of use. . This package includes the MySQL testsuite. Package: nanovna-saver Description-md5: 18681df7aa48f9e1a7d40021c3c758eb Description-en: view and export Touchstone data from a NanoVNA radio network tester device The NanoVNA device is a vector network analyzer and antenna analyzer, useful to test or instrument various kinds of radio networks. . NanoVNA-saver imports Touchstone files from the NanoVNA, sweeps frequency spans in segments to gain more than 101 data points, and generally displays and analyzes the resulting data. Package: nfdump Description-md5: 4fa77d0d490891adcde185fa1cc34138 Description-en: netflow capture daemon Collects and processes netflow data with command line tools. Collected netflow data is stored in filesystem and is limited by available storage space only. Tools are optimized for speed and filtering. nfcapd reads netflow v5, v7, v9 and IPFIX flows transparently. You need one nfcapd process for each netflow stream. Package: nfdump-sflow Description-md5: a117d3b23094754849df79bc829ee86f Description-en: sflow collector daemon sfcapd collects sflow data and stores it into nfcapd compatible files. Package: nqp Description-md5: df708fee397b23813f9d67d7fb8f6703 Description-en: Not Quite Perl compiler A bootstrapping compiler for code that is like Raku (previously Perl 6). The key feature of NQP is that it's designed to be a very small compiler featuring the Raku object model and as a high-level way to create bytecode and modules for virtual machines (such as the JVM, and MoarVM). . Unlike a full-fledged implementation of Raku, NQP strives to have as small a runtime footprint as it can, while still providing a Raku object model and grammar engine for the virtual machine. Package: nqp-data Description-md5: f8ecbe3d6eeda34554d332c0603cc595 Description-en: Data files for NQP compiler A bootstrapping compiler for code that is like Raku (previously Perl 6). The key feature of NQP is that it's designed to be a very small compiler featuring the Raku object model and as a high-level way to create bytecode and modules for virtual machines (such as the JVM, and MoarVM). . Unlike a full-fledged implementation of Raku, NQP strives to have as small a runtime footprint as it can, while still providing a Raku object model and grammar engine for the virtual machine. . This package contains the architecture independent files (mostly the files compiled for Moar VM) Package: nscd Description-md5: e8172254ec0df735a3e44c0f557100bc Description-en: GNU C Library: Name Service Cache Daemon A daemon which handles passwd, group and host lookups for running programs and caches the results for the next query. You should install this package only if you use slow services like LDAP, NIS or NIS+. Package: ntp Description-md5: 478df64ab7ec53f98fde4b6609b69b9c Description-en: Network Time Protocol daemon/utilities (transitional package) This is a dummy transitional package to transition to NTPsec. It can be safely removed. Package: ntp-doc Description-md5: e045136c201469a62ca425c831cc6f95 Description-en: Network Time Protocol docs (transitional package) This is a dummy transitional package to transition to NTPsec. It can be safely removed. Package: ntpd-rs Description-md5: b1e963d8d9dfd1564671d497f5a5c9b7 Description-en: Rust-based NTP implementation with NTS support ntpd-rs is an NTP implementation written in Rust, emphasizing security and stability. It provides both client and server functionalities and supports NTS. Package: ntpd-rs-metrics Description-md5: 58316583a043b58d0782ac18c19fe19d Description-en: Rust-based NTP implementation with NTS support - exporter ntpd-rs is an NTP implementation written in Rust, emphasizing security and stability. It provides both client and server functionalities and supports NTS. . This package provides essential operational metrics for an external Prometheus instance. Package: ntpdate Description-md5: 70a63a2d48deb3b01421dfbf30350dcc Description-en: Network Time Protocol client (transitional package) This is a dummy transitional package to transition to NTPsec. It can be safely removed. Package: ntpsec Description-md5: c455d4fac72d8d6e46cfdbc0a5ddb7b3 Description-en: Network Time Protocol daemon and utility programs NTP, the Network Time Protocol, is used to keep computer clocks accurate by synchronizing them over the Internet or a local network, or by following an accurate hardware receiver that interprets GPS, DCF-77, or similar time signals. . This package contains the NTP daemon and utility programs. An NTP daemon needs to be running on each host that is to have its clock accuracy controlled by NTP. The same NTP daemon is also used to provide NTP service to other hosts. . This is the NTPsec version of NTP. NTPsec is a secure, hardened, and improved implementation derived from the original NTP project. NTPsec supports Network Time Security (NTS) which provides cryptographically authenticated time. . For more information about the NTP protocol and NTP server configuration and operation, install the package "ntpsec-doc". Package: ntpsec-doc Description-md5: 305615088e9512416c11e16403af0a23 Description-en: Network Time Protocol documentation NTP, the Network Time Protocol, is used to keep computer clocks accurate by synchronizing them over the Internet or a local network, or by following an accurate hardware receiver that interprets GPS, DCF-77, or similar time signals. . This package contains HTML documentation for the ntpsec packages (ntpsec, ntpsec-ntpdate). . This is part of NTPsec. NTPsec is a secure, hardened, and improved implementation derived from the original NTP project. Package: ntpsec-ntpdate Description-md5: 71e2f5537b567c32013b184c9137b5ef Description-en: client for setting system time from NTP servers NTP, the Network Time Protocol, is used to keep computer clocks accurate by synchronizing them over the Internet or a local network, or by following an accurate hardware receiver that interprets GPS, DCF-77, or similar time signals. . ntpdate is a simple NTP client that sets a system's clock to match the time obtained by communicating with one or more NTP servers. It is not sufficient, however, for maintaining an accurate clock in the long run. ntpdate by itself is useful for occasionally setting the time on machines that do not have full-time network access, such as laptops. . This is the NTPsec version of ntpdate. NTPsec is a secure, hardened, and improved implementation derived from the original NTP project. . If the full NTP daemon from the package "ntpsec" is installed, then ntpsec-ntpdate is not necessary. Package: ntpsec-ntpdig Description-md5: 4ea65e175e70452fe6e632f224a1a7ae Description-en: ntpdig SNTP client NTP, the Network Time Protocol, is used to keep computer clocks accurate by synchronizing them over the Internet or a local network, or by following an accurate hardware receiver that interprets GPS, DCF-77, or similar time signals. . ntpdig can be used as an SNTP client to query a NTP or SNTP server and either display the time or set the local system's time. It is not sufficient, however, for maintaining an accurate clock in the long run. . This is the NTPsec version of ntpdig, which is equivalent to the sntp utility. NTPsec is a secure, hardened, and improved implementation derived from the original NTP project. Package: ntpsec-ntpviz Description-md5: 0eaae42373fa30a1293b94825738d9bd Description-en: NTP statistics graphing utility NTP, the Network Time Protocol, is used to keep computer clocks accurate by synchronizing them over the Internet or a local network, or by following an accurate hardware receiver that interprets GPS, DCF-77, or similar time signals. . ntpviz analyzes NTP log files and generates statistical plots from them. The output is in the form of HTML with images. If Apache is installed, it will be served at: /ntpviz . This is part of NTPsec. NTPsec is a secure, hardened, and improved implementation derived from the original NTP project. Package: numba-doc Description-md5: c0dcfd237d66973e0d104bb73c082a8e Description-en: native machine code compiler for Python (docs) Numba compiles native machine code instructions from Python programs at runtime using the LLVM compiler infrastructure. Just-in-time compilation with Numba could be easily employed by decorating individual computation intensive functions in the Python code. Numba could significantly speed up the performance of computations, and optionally supports compilation to run on GPU processors through Nvidia's CUDA platform. It integrates well with the Python scientific software stack, and especially recognizes Numpy arrays. . This package contains the documentation and examples. Package: octave-plplot Description-md5: bc07b1f0ee609531a62179d58e7d7ea2 Description-en: Octave support for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the Octave bindings for PLplot. It provides an almost drop-in replacement for the traditional Gnuplot graphical interface in Octave. Examples are also provided. Package: octave-vrml Description-md5: 69177de5218eb00b5455d4e5d631ee99 Description-en: VRML functions for Octave This package provides functions to do 3D graphics using VRML in Octave a numerical computation software. It allows the visualization of sets of 3D points, 3D curves, surfaces, etc using Octave, in conjunction with the VRML browser FreeWRL. . This Octave add-on package is part of the Octave-Forge project. Package: ogamesim Description-md5: 7304ed073eb6c164f99342aeac7f4640 Description-en: Console Ogame Simulator Console battles simulator for the online MMORG Ogame (strategic space simulation game): http://ogame.org. It allows to perform the battles simulation between one player and another one player (Multi-combat mode is not supported). The simulator can integrate in a script bot or can be used just for the battle result calculation. Package: ogamesim-www Description-md5: 68576e9e32bd2062f078e6c7aad1f4ca Description-en: WWW GUI for ogamesim CGI frontend for the console battles simulator. Contains: * The probe report parser for the automatical input form filling; * The task editor for the simulator; * The calculation results report parser; * The multilanguage interface. Package: onnxruntime-tools Description-md5: 609c04cd77a09b15de6cf9016a91bd0d Description-en: cross-platform inference and training ML accelerator (tools) ONNX Runtime is a performance-focused complete scoring engine for Open Neural Network Exchange (ONNX) models, with an open extensible architecture to continually address the latest developments in AI and Deep Learning. ONNX Runtime stays up to date with the ONNX standard with complete implementation of all ONNX operators, and supports all ONNX releases (1.2+) with both future and backwards compatibility. Please refer to this page for ONNX opset compatibility details. . This package provides the onnxruntime tools. Package: opencv-data Description-md5: 6309a2225945477162fd5b5b25620a83 Description-en: development data for opencv This package contains some architecture independent files useful for development with OpenCV. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: opencv-doc Description-md5: ee64a89ad004eac0997a2fd96a5627e5 Description-en: OpenCV documentation and examples This package contains the OpenCV documentation and example programs. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: openstack-dashboard-ubuntu-theme Description-md5: 40d46eeff72f593d414a29c360430556 Description-en: Transitional dummy package for Ubuntu theme for Horizon This transitional package is safe to remove and use openstack-dashboard instead, which now contains the Ubuntu theme. Package: ovmf-ia32 Description-md5: 45406fadf3a151c202373ad38c0f2c3e Description-en: UEFI firmware for 32-bit x86 virtual machines Open Virtual Machine Firmware is a build of EDK II for 32-bit x86 virtual machines. It includes full support for UEFI, including Secure Boot, allowing use of UEFI in place of a traditional BIOS in your VM. Package: pari-doc Description-md5: dfadd26902a41b9c609b7a6b4951b407 Description-en: PARI/GP Computer Algebra System documentation PARI/GP is a widely used computer algebra system designed for fast computations in number theory (factorizations, algebraic number theory, elliptic curves...), but also contains a large number of other useful functions to compute with mathematical entities such as matrices, polynomials, power series, algebraic numbers etc., and a lot of transcendental functions. PARI is also available as a C library to allow for faster computations. . Originally developed by Henri Cohen and his co-workers (University Bordeaux I, France), PARI is now under the GPL and maintained by Karim Belabas with the help of many volunteer contributors. . This package contains the documentation and the extended online help. Package: pari-gp Description-md5: f3f3e19e97fc49d96307dd88d802d215 Description-en: PARI/GP Computer Algebra System binaries PARI/GP is a widely used computer algebra system designed for fast computations in number theory (factorizations, algebraic number theory, elliptic curves...), but also contains a large number of other useful functions to compute with mathematical entities such as matrices, polynomials, power series, algebraic numbers etc., and a lot of transcendental functions. PARI is also available as a C library to allow for faster computations. . Originally developed by Henri Cohen and his co-workers (University Bordeaux I, France), PARI is now under the GPL and maintained by Karim Belabas with the help of many volunteer contributors. . This package contains the GP calculator. Package: partclone Description-md5: bf78f383fbe1b3a56ce2f96b44635b76 Description-en: Utility to clone and restore a partition Partclone is a project like the well-known backup utility "Partition Image" a.k.a. partimage. . Partclone provides utilities to back up used blocks and design for highest compatibility with file system using supported libraries like e2fslibs. . check the project website for more details http://partclone.org Package: pass-extension-audit Description-md5: 76ebb33a81f328fd2d033980362e1c3b Description-en: Pass extension for auditing your password repository pass audit is a password-store extension for auditing your passwordstore repository. Passwords will be checked against the Python implementation of Dropbox' zxcvbn algorithm and Troy Hunt's Have I Been Pwned Service. It supports safe breached password detection from haveibeenpwned.com using a K-anonymity method. Using this method, you do not need to (fully) trust the server that stores the breached password. You should read the security consideration section for more information. . This package installs the pass extension Package: patroni Description-md5: 500d92dec4fc214fc4d04ff56b7d221b Description-en: PostgreSQL High Availability with ZooKeeper, etcd, Consul, or Kubernetes Patroni is a template for a customized, high-availability PostgreSQL solution using Python and a distributed configuration store (ZooKeeper, etcd or Consul), or Kubernetes. It provides a REST API on each Postgres node of the cluster allowing for remote management and monitoring. Package: patroni-doc Description-md5: ba8197d3956a299b4dae037bf2de7967 Description-en: PostgreSQL High Availability (documentation) Patroni is a template for a customized, high-availability PostgreSQL solution using Python and a distributed configuration store (ZooKeeper, etcd or Consul), or Kubernetes. It provides a REST API on each Postgres node of the cluster allowing for remote management and monitoring. . This package provides the documentation. Package: pcs-snmp Description-md5: 6fbceb85c0388ccbe279bece6a40961a Description-en: Pacemaker Configuration System SNMP agent SNMP agent which connects to the master agent (snmpd) via AgentX protocol and provides information about the status of a corosync/pacemaker cluster. Package: pgbouncer Description-md5: 0b1bf3d05307e54c6f4216824d19f9c1 Description-en: lightweight connection pooler for PostgreSQL PgBouncer is a lightweight connection pooler for PostgreSQL providing the following features: . * Several different methods of pooling connections: session pooling, transaction pooling, statement pooling. * Low memory requirements. * It is not tied to one backend server, the destination databases can reside on different hosts. * Supports online reconfiguration for most of the settings. * Supports online restart/upgrade. Package: php-codecoverage Description-md5: c2e74528d6668ec149f102a2671fafd7 Description-en: collection, processing, and rendering for code coverage Library that provides collection, processing, and rendering functionality for PHP code coverage information . This package is part of PHPUnit, a unit testing suite for the PHP language, modelled on the xUnit testing framework, designed by Kent Beck and Erich Gamma. Package: php-doctrine-dbal Description-md5: db46ad479a0b354caf76abc8b8af4552 Description-en: database abstraction layer for Doctrine Powerful PHP database abstraction layer (DBAL) with many features for database schema introspection and management. . The Doctrine Project provides several libraries primarily focused on database storage and object mapping. Package: php-file-iterator Description-md5: 32e8749e4eac8e7f214337152c01d979 Description-en: FilterIterator implementation for PHP FilterIterator implementation that filters files based on a list of suffixes, prefixes, and other exclusion criteria used by PHPUnit. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: php-google-recaptcha Description-md5: 9a057c98373c23c70eaa6886826bd8be Description-en: reCAPTCHA PHP client library reCAPTCHA is a free CAPTCHA service that protect websites from spam and abuse. This is Google authored code that provides plugins for third-party integration with reCAPTCHA. Package: php-invoker Description-md5: a788d5adae1fb01c2718140a58e674fc Description-en: Invoke callables with a timeout PHP_Invoker is a utility class for invoking callables with a timeout used by PHPUnit. PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: php-laravel-prompts Description-md5: d4350eb40af328865ca83c3e2447733f Description-en: Add beautiful and user-friendly forms to your command-line applications Laravel Prompts is a PHP package adding forms to command-line applications, with browser-like features including placeholder text and validation. . Laravel Prompts is perfect for accepting user input in Artisan console commands, but it may also be used in any command-line PHP project. Package: php-mariadb-mysql-kbs Description-md5: 67674d61970acf8d49d65f0f3950543f Description-en: Knowledge base about MariaDB and MySQL server variables This library can be used to access data from the Knowledge bases of MariaDB and MySQL. You can ask for the documentation link for a server variable and the library will return it. Package: php-seclib Description-md5: 10e7f76b4666e87ee17d51c011b757e1 Description-en: implementations of an arbitrary-precision integer arithmetic library The PHP Secure Communications Library are fully PKCS#1 (v2.1) compliant RSA, DES, 3DES, RC4, Rijndael, AES, Blowfish, Twofish, SSH-1, SSH-2, SFTP, and X.509 implementations. Package: php-slim-psr7 Description-md5: 0fef223470c111dbdaef9fb17762c28b Description-en: Strict PSR-7 implementation used by the Slim Framework This library can be used with other libraries that are compatible with the PSR-7 standard. . PSR-7 is a set of common interfaces defined by PHP Framework Interop Group. These interfaces are representing HTTP messages, and URIs used for HTTP. This standard helps normalizing a request and handling a response. Package: php-text-template Description-md5: 524e36518a432c647f0097b7d95d6d20 Description-en: Simple template engine Text_Template is a simple PHP templating engine used by PHPUnit. PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework, designed by Kent Beck and Erich Gamma. Package: php-timer Description-md5: 437ed909504fba359c182045a9e99047 Description-en: Utility class for timing PHP_Timer is a utility class for timing used by PHPUnit. PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit Description-md5: 3f32da5741bf4ad2de864d5b86e5f0ee Description-en: Unit testing suite for PHP Unit testing allows you to write small test methods which verify units of functionality in your program. It is a powerful technique for improving the quality of your software, preventing regressions, and allowing confident refactoring of your code. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework, designed by Kent Beck and Erich Gamma. If you've used JUnit (for Java), PyUnit (for Python), CxxUnit (for C++), or any of the other equivalents for other languages, the API for this package should seem fairly familiar. If you've never written unit tests before, the PHPUnit API is simple to learn and use. Package: phpunit-cli-parser Description-md5: 21475fcb315821f9aa5104c75a38677b Description-en: Library for parsing CLI options This component provides a library for parsing $_SERVER['argv'], extracted from PHPUnit. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-code-unit Description-md5: d24943a75182ccfa54525bf2d8bca9fd Description-en: Collection of value objects that represent the PHP code units This component provides a collection of value objects that represent the PHP code units. It is used by PHPUnit. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-code-unit-reverse-lookup Description-md5: 3a11fa3a156055359a609f3f6dc541c3 Description-en: look up what a line of code belongs to - PHPUnit component code-unit-reverse-lookup is a PHPUnit extension that provides the functionality to look up which function or method a line of code belongs to. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-comparator Description-md5: 5e16a100ec8bde1b07e6bcaee2e4764a Description-en: functionality to compare PHP values for equality - PHPUnit component This component provides functionality that helps to compare PHP values for equality. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-complexity Description-md5: b6f01c1c0fc0189709727631ec7e440d Description-en: Library for calculating the complexity of PHP code units This component provides a library for calculating the complexity of PHP code units. It is used by PHPUnit. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-diff Description-md5: 42a8447ce82d0637859c6a1371fc2e7f Description-en: diff implementation - stand-alone component from PHPUnit Diff is a PHPUnit extension that provides comparison and parsing features. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-environment Description-md5: 4e5831aba97444c62176dd0e78eeac92 Description-en: functionality to handle HHVM/PHP environments - PHPUnit component This component provides functionality that helps writing PHP code that has runtime-specific (PHP / HHVM) execution paths. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-exporter Description-md5: c0a6d2e651cfc835c2e33c950e9feee9 Description-en: export variables for visualization - PHPUnit component Exporter is a PHPUnit extension that provides the functionality to export PHP variables for visualization. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-global-state Description-md5: 4acb65ee8e11e64b4d664704a9376bbd Description-en: snapshotting of global state - PHPUnit component GlobalState is a PHPUnit extension that provides the functionality to snapshot a global state. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-lines-of-code Description-md5: f115d5ffcbbc838818954396f2ddfcb3 Description-en: Library for counting the lines of code in PHP source code This component provides a library for counting the lines of code in PHP source code. It is used by PHPUnit. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-object-enumerator Description-md5: 20b4755c1cb58f4a2b4efb856d64f944 Description-en: enumerate all referenced objects - PHPUnit component Object Enumerator is a PHPUnit extension that provides the functionality to traverse array structures and object graphs to enumerate all referenced objects. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-object-reflector Description-md5: 41f02b11b7ba80d7a54aa36377eeb45c Description-en: reflection of object attributes - PHPUnit component Object Reflector is a PHPUnit extension that allows reflection of object attributes, including inherited and non-public ones. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-recursion-context Description-md5: 4c2bfc24f783ea094059b01e20807a95 Description-en: recursively process PHP variables - PHPUnit component Recursion Context is a PHPUnit extension that provides the functionality to recursively process PHP variables. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-type Description-md5: 1307a24127c62f203822a1df6c60a0cf Description-en: Collection of value objects that represent the types of the PHP type system This component provides a collection of value objects that represent the types of the PHP type system. It is used by PHPUnit. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: phpunit-version Description-md5: aa2b6155079b1bbd887f9fe279237d2f Description-en: Library that helps with managing the version number of Git-hosted PHP projects Version is a PHPUnit extension that provides a proper version depending on the given release number, and information from the current Git repository if there is one, as intended for PHPUnit. . PHPUnit is a unit testing suite for the PHP language, modelled on the xUnit testing framework. Package: plink2 Description-md5: 8bf29787d12baeabb31572ed571bfb04 Description-en: whole-genome association analysis toolset plink expects as input the data from SNP (single nucleotide polymorphism) chips of many individuals and their phenotypical description of a disease. It finds associations of single or pairs of DNA variations with a phenotype and can retrieve SNP annotation from an online source. . SNPs can evaluated individually or as pairs for their association with the disease phenotypes. The joint investigation of copy number variations is supported. A variety of statistical tests have been implemented. . plink2 is a comprehensive update of plink and plink1.9 with new algorithms and new methods, faster and less memory consumer than the first plink. Package: plplot-doc Description-md5: 4a6b489e8519d3fe689a5aeb6bfefc16 Description-en: Documentation for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the HTML, PDF, PostScript and info forms of the PLplot documentation. It also contains the man pages for the API functions. Package: plplot-driver-cairo Description-md5: a253bfbeffa7f0b503680e35c4081abf Description-en: Scientific plotting library (Cairo driver) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the Cairo driver module for PLplot. It is based on the Cairo 2D graphics library with supporting multiple output devices (X-Window, PDF, PostScript, and PNG). Package: plplot-driver-qt Description-md5: fbd27eb04c005c8e3c230d8c0f50580e Description-en: Scientific plotting library (Qt driver) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the Qt driver module for PLplot. Package: plplot-driver-wxwidgets Description-md5: a1f1da908a753fc88786e285987f0059 Description-en: Scientific plotting library (wxWidgets driver) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the wxWidgets driver module for PLplot. Package: plplot-driver-xwin Description-md5: 9e16610ed4059fc60f1c2acf2c141f8d Description-en: Scientific plotting library (X11 driver) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides the X11 driver module for PLplot. Package: plplot-examples Description-md5: 48ffdce183d0e3e2571da9bf3e8a6c4f Description-en: Examples for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the examples in C, C++, and for the supported language bindings for the PLplot library. Package: plplot-tcl Description-md5: f48cc16d95409ce8988c70453b1f3aa6 Description-en: Tcl/Tk support for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the Tcl/Tk support for PLplot: shared libraries, Tcl modules and the Tk driver. Package: plplot-tcl-bin Description-md5: 645baa7b92cec3bb3bd10363427b0060 Description-en: Tcl/Tk tools for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the pltcl and plserver programs for interactive use of the Tcl/Tk support for PLplot. Package: plplot-tcl-dev Description-md5: af6ec3fcc4b6ff2bd34cd40254aa5889 Description-en: Tcl/Tk development support for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the necessary files to do development in Tcl/Tk with PLplot: header files, shared libraries links, and examples. Package: pocl-doc Description-md5: 90921ef1c6cdf53ad65969ed754f50fc Description-en: documentation for the PoCL library Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. . This package provides the documentation for the PoCL library. Package: pocl-opencl-icd Description-md5: a2a9ed5c155c582d02308f5380e633cd Description-en: PoCL ICD Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. A "native" target is included, which allows running OpenCL kernels on the host (CPU). . This package allows one to use PoCL as an installable client driver (ICD) for OpenCL. Package: pocl-source Description-md5: 69e55c652ad234a993b70519db800689 Description-en: PoCL source code Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. . This package provides original Debian (with Debian patches already applied) sources of PoCL shipped in a tarball. This can be used for building the device libraries for additional targets. Package: pocl-tests Description-md5: 598e05d38250b9a6f31635e04cdb7119 Description-en: PoCL testsuite Portable Computing Language is an open source implementation of the OpenCL standard which can be easily adapted for new targets. One of the goals of the project is improving performance portability of OpenCL programs, avoiding the need for target-dependent manual optimizations. . This package contains the source code of the PoCL test suite. Package: pocld Description-md5: 54d60007f5639a126449a7637a89ff55 Description-en: dummy pocld for PoCL-R Dummy package, will contain pocld from PoCL 6.1. Package: podman-compose Description-md5: aaeda68f46f871bd0b2c83ce416b42e5 Description-en: Run docker-compose.yml using podman An implementation of docker-compose with podman backend. The main objective of this project is to be able to run docker-compose.yml unmodified and rootless. Package: postgresql-17-rdkit Description-md5: 2ddeeb620f18198f3074591c17143805 Description-en: Cheminformatics and machine-learning software (PostgreSQL Cartridge) RDKit is a Python/C++ based cheminformatics and machine-learning software environment. Features Include: . * Chemical reaction handling and transforms * Substructure searching with SMARTS * Canonical SMILES * Molecule-molecule alignment * Large number of molecular descriptors, including topological, compositional, EState, SlogP/SMR, VSA and Feature-map vectors * Fragmentation using RECAP rules * 2D coordinate generation and depiction, including constrained depiction * 3D coordinate generation using geometry embedding * UFF and MMFF94 forcefields * Chirality support, including calculation of (R/S) stereochemistry codes * 2D pharmacophore searching * Fingerprinting, including Daylight-like, atom pairs, topological torsions, Morgan algorithm and MACCS keys * Calculation of shape similarity * Multi-molecule maximum common substructure * Machine-learning via clustering and information theory algorithms * Gasteiger-Marsili partial charge calculation . File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit binary format. . This package contains the PostgreSQL extension. Package: prometheus-dnsmasq-exporter Description-md5: 9f5ed947eefc155a57813888ca2f8170 Description-en: Prometheus exporter for dnsmasq dnsmasq_exporter is a Prometheus exporter for dnsmasq, allowing you to monitor/alert on the number of DHCP leases and various DNS statistics. Package: prometheus-nextcloud-exporter Description-md5: 9b4cee548e479bcfd28924774c25bca7 Description-en: Prometheus exporter for Nextcloud server metrics The prometheus-nextcloud-exporter can be used to gather metrics from a Nextcloud server instance. Package: prospector Description-md5: 0e153609ade56a0663bb58cdcd18e27f Description-en: comprehensive static Python code analyzer Prospector analyzes Python source files and puts out information about coding errors, potential problems, convention violation and unnecessary complexity. . It provides an uniform and flexible interface for these tools: - Pylint (extensive Python code checker) - Pyflakes (checks Python code for logical errors) - Pycodestyle (checks for PEP-8 coding style conventions) - Pep8-naming (checks for PEP-8 naming conventions not covered by pycodestyle) - McCabe (checks for cyclomatic code complexity) - Pydocstyle (checks for compliance with the PEP-257 docstring conventions) - Dodgy (checks for hard coded passwords, VCS diff checkins etc.) - Vulture (scans Python programs for unused code) - Pyroma (Python packaging quality checker) . Prospector detects if code employs specific frameworks and libraries, and checks them by corresponding Pylint plugins: - Django (web application framework) - Celery (asynchronous task/job queue) - Flask (web application framework) Package: protobuf-compiler-grpc Description-md5: 8d28232f23390c3159e16acd56f28f68 Description-en: high performance general RPC framework - protobuf plugin A modern, open source remote procedure call (RPC) framework that can run anywhere. It enables client and server applications to communicate transparently, and makes it easier to build connected systems. . This package provides the plugins needed for compiling gRPC service definitions with the protobuf compiler. Package: prusa-slicer Description-md5: e48ca208c5da5526c62976a94a73417c Description-en: G-code generator for 3D printers PrusaSlicer converts digital 3D models into printing instructions (G-code) for your 3D printer. It cuts the model into horizontal slices (layers), generates toolpaths to fill them and calculates the amount of material to be extruded. . PrusaSlicer supports input in the STL, AMF and OBJ formats, and can output G-code for several series of 3D printers, including RepRap, Ultimaker, Makerbot, as well as SVG files for DLP printers. . It can be used with a graphical interface, or in batch mode via the command-line. Package: pwdsphinx Description-md5: e55dcd07ded4539d7402830acffdb9a1 Description-en: SPHINX password storage protocol - reference server implementation SPHINX -- password Store that Perfectly Hides from Itself (No Xaggeration) -- is an information-theoretically secure cryptographic password storage protocol with strong security guarantees, as described in the 2015 paper "Device-Enhanced Password Protocols with Optimal Online-Offline Protection" by Jarecki, Krawczyk, Shirvanian, and Saxena (https://ia.cr/2015/1099). . This package contains a CLI frontend ("sphinx"), a reference server implementation ("oracle") and a python wrapper for the SPHINX protocol. . If you want to use the websphinx browser extension you need to install an X11 variant of pinentry, as suggested by this package. Package: pwdsphinx-tools Description-md5: a1f0ec3e841e5f152857846ff194c7f4 Description-en: SPHINX password storage protocol - tools to integrate into an X11 desktop SPHINX -- password Store that Perfectly Hides from Itself (No Xaggeration) -- is an information-theoretically secure cryptographic password storage protocol with strong security guarantees, as described in the 2015 paper "Device-Enhanced Password Protocols with Optimal Online-Offline Protection" by Jarecki, Krawczyk, Shirvanian, and Saxena (https://ia.cr/2015/1099). . This package contains 4 simple scripts which - wrap the client to query the master password securely using pinentry: "getpwd", - a tool "exec-on-click" which executes a command on mouse-click, - a tool "type-pwd" that combines the two previous tools to insert a password without using the clipboard, - and a dmenu wrapper "dmenu-sphinx" that uses all of the above to retrieve usernames and passwords for given hosts. Some of these tools can also be used for other password managers, that are using the clipboard to deliver passwords to the UI. Package: python-bioxtasraw-doc Description-md5: 033009f2bb1ede353637d730f56c3677 Description-en: process small angle scattering data (documentation) BioXTAS RAW is a GUI based, Python program for reduction and analysis of small-angle X-ray solution scattering (SAXS) data. The package is designed for biological SAXS data. . BioXTAS RAW provides an alternative to closed source programs such as Primus and Scatter for primary data analysis. Because it can calibrate, mask, and integrate images it also provides an alternative to synchrotron beamline pipelines that scientists can install on their own computers and use both at home and at the beamline. . This is the BioXTAS RAW documentation package. Package: python-cogent-doc Description-md5: c500b1c82c580b2eec9f3cdab53c4831 Description-en: docs for python3-cogent3 PyCogent is a software library for genomic biology. . It is distinguished by many unique built-in capabilities (such as true codon alignment) and the frequent addition of entirely new methods for the analysis of genomic data. . This package contains documentation and examples. Package: python-django-crispy-forms-doc Description-md5: f7f3fb11e3bf8a309ff7a14f2edfa9d2 Description-en: app for Django providing elegant form rendering (Documentation) django-crispy-forms provides you with a |crispy filter and {% crispy %} tag that will let you control the rendering behavior of your Django forms in a very elegant and DRY way. Have full control without writing custom form templates. All this without breaking the standard way of doing things in Django, so it plays nice with any other form application. . Django-crispy-forms supports several frontend frameworks, such as Twitter Bootstrap (versions 2, 3 and 4), Uni-form and Foundation. You can also easily adapt your custom company's one, creating your own, see the docs for more information. You can easily switch among them using CRISPY_TEMPLATE_PACK setting variable. . This package contains the documentation. Package: python-django-crispy-forms-foundation-doc Description-md5: e981954e45864cf01eb8543e81d2a174 Description-en: Django-crispy-forms layout objects for Foundation for sites (Documentation) This is a Django application to add django-crispy-forms layout objects for the CSS framework Foundation for sites. It depends on the python3-crispy-forms library. . django-crispy-forms provides you with a |crispy filter and {% crispy %} tag that will let you control the rendering behavior of your Django forms in a very elegant and DRY way. Have full control without writing custom form templates. All this without breaking the standard way of doing things in Django, so it plays nice with any other form application. . django-crispy-forms supports several frontend frameworks, such as Twitter Bootstrap (versions 3 and 4), Uni-form and Foundation. You can also easily adapt your custom company's one, creating your own, see the docs for more information. You can easily switch among them using CRISPY_TEMPLATE_PACK setting variable. . This package contains the documentation. Package: python-mpi4py-doc Description-md5: bb9dc654964860074ddc267a1cb16282 Description-en: bindings of the MPI standard -- documentation MPI for Python (mpi4py) provides bindings of the Message Passing Interface (MPI) standard for the Python programming language, allowing any Python program to exploit multiple processors. . mpi4py is constructed on top of the MPI-1/MPI-2 specification and provides an object oriented interface which closely follows MPI-2 C++ bindings. It supports point-to-point (sends, receives) and collective (broadcasts, scatters, gathers) communications of any picklable Python object as well as optimized communications of Python object exposing the single-segment buffer interface (NumPy arrays, builtin bytes/string/array objects). . This package provides HTML rendering of the user's manual. Package: python-orange-spectroscopy-doc Description-md5: 47531a27c9976aa2b452764029b945ea Description-en: documentation for the orange-spectroscopy Python library This package provides documentation for orange-spectroscopy Package: python-ppmd-doc Description-md5: a6a00c01823b7c839be85ec9735c8b48 Description-en: documentation for the ppmd Python library This package provides documentation for ppmd Package: python-respx-doc Description-md5: e0059f640994d22b0db793677344fdfa Description-en: Documentation for python-respx RESPX is a mock router, capturing requests sent by HTTPX, mocking their responses. Inspired by the flexible query API of the Django ORM, requests are filtered and matched against routes and their request patterns and lookups. . This package contains documentation for RESPX. Package: python-sklearn-doc Description-md5: 44aba3387aea657b5b0c185e5077d10c Description-en: documentation and examples for scikit-learn This package contains documentation and example scripts for python-sklearn. Package: python-slixmpp-doc Description-md5: d0261afb971945f941393fb0743724b6 Description-en: Threadless, event-based XMPP Python library (documentation) Slixmpp is a (friendly) fork of SleekXMPP, which goal is to “improve” the core of the library by entirely removing all threads from the library and using an event-based approach instead. . This is the documentation package. Package: python-xraylarch-doc Description-md5: ada0ae9b96ded7178e7da0c5b49633df Description-en: X-ray Larch data analysis (documentation) Larch is a library and set of applications for processing and analyzing X-ray absorption and fluorescence spectroscopy data and X-ray fluorescence and diffraction image data from synchrotron beamlines. . Larch is especially focussed on X-ray absorption fine-structure spectroscopy (XAFS) including X-ray absorption near-edge spectroscopy (XANES) and extended X-ray absorption fine-structure spectroscopy (EXAFS). It also supports visualization and analysis tools for X-ray fluorescence (XRF) spectra and XRF and X-ray diffraction (XRD) images as collected at scanning X-ray microprobe beamlines. . This is the documentation package. Package: python3-aiowebostv Description-md5: 35db816320daed00ccaf7799648527b4 Description-en: Library to control webOS based LG TV devices This package provides a Python library to control LG webOS based TV devices. . This package is a dependency of Home Assistant. Package: python3-bioxtasraw Description-md5: d545ca733a9a9e3ef2356361ef1d6d30 Description-en: process biological small angle scattering data BioXTAS RAW is a GUI based, Python program for reduction and analysis of small-angle X-ray solution scattering (SAXS) data. The package is designed for biological SAXS data. . BioXTAS RAW provides an alternative to closed source programs such as Primus and Scatter for primary data analysis. Because it can calibrate, mask, and integrate images it also provides an alternative to synchrotron beamline pipelines that scientists can install on their own computers and use both at home and at the beamline. Package: python3-breezy Description-md5: 90082b54332cb2e9520ec69a203ad9c4 Description-en: distributed version control system - Python 3 library Breezy is a distributed version control system designed to be easy to use and intuitive, able to adapt to many file formats and workflows, reliable, and easily extendable. . This package contains the Breezy Python 3 library. Package: python3-breezy.tests Description-md5: 2e5cd1d229fd9829f9ca4585085b31af Description-en: distributed version control system - Python 3 testsuite Breezy is a distributed version control system designed to be easy to use and intuitive, able to adapt to many file formats workflows, reliable, and easily extendable. . This package contains the breezy test infrastructure and testsuite, which is required to run the 'brz selftest' command and to run plugin tests. . This package contains the Python 3 version. Package: python3-brial Description-md5: c156f962c6f2314f9f45be4d5ac79611 Description-en: polynomials over Boolean Rings, Python 3 module The core of BRiAl is a C++ library, which provides high-level data types for Boolean polynomials and monomials, exponent vectors, as well as for the underlying polynomial rings and subsets of the powerset of the Boolean variables. As a unique approach, binary decision diagrams are used as internal storage type for polynomial structures. On top of this C++-library a Python interface is provided. This allows parsing of complex polynomial systems, as well as sophisticated and extendable strategies for Groebner base computation. BRiAl features a powerful reference implementation for Groebner basis computation. . This package contains the BRiAl Python 3 module. Package: python3-cctbx Description-md5: 82495d7385342d38e22c572566893a2d Description-en: Python Toolbox for crystallography Computational Crystallography Toolbox contains following modules: - annlib_adaptbx: - boost_adaptbx: wrappers for Boost functionality in CCTBX - cbflib_adaptbx: - ccp4io_adaptbx: - cctbx: Libraries for general crystallographic applications, useful for both small-molecule and macro-molecular crystallography. - cma_es: - crys3d: Modules for the display of molecules, electron density, and reciprocal space data. - dxtbx: The Diffraction Image Toolbox, a library for handling X-ray detector data of arbitrary complexity from a variety of standard formats. - fable: Fortran EMulation library for porting Fortran77 to C++. - gltbx: Python bindings for OpenGL - iotbx: Working with common crystallographic file formats. - libtbx: The build system common to all other modules. This includes a very thin wrapper around the SCons software construction tool. It also contains many useful frameworks and utilities to simplify application development, including tools for regression testing, parallelization across multiprocessor systems and managed clusters, and a flexible, modular configuration syntax called PHIL (Python Hierarchial Interface Language) used throughout the CCTBX. - mmtbx: Functionality specific to macromolecular crystallography. This includes all of the machinery required for setup of geometry restraints, bulk solvent correction and scaling, analysis of macromolecular diffraction data, calculation of weighted map coefficients, and most of the methods implemented in phenix.refine. The majority of infrastructure for the MolProbity validation server (and Phenix equivalent) is also located here. - omptbx: OpenMP interface. - rstbx: A reciprocal space toolbox to autoindex small molecule Bragg diffraction, given the reciprocal space vectors. - scitbx: General scientific calculations. his includes a family of high-level C++ array types, a fast Fourier transform library, and a C++ port of the popular L-BFGS quasi-Newton minimizer. - smtbx: Small-Molecule crystallography. - spotfinder: - tbxx: - wxtbx: wxPython controls used in the Phenix GUI and various utilities . This package provide a selected collection of python modules from the cctbx project. Package: python3-cogent3 Description-md5: 7f66bef045873462e2411adbafa6b365 Description-en: framework for genomic biology PyCogent is a software library for genomic biology. It is a fully integrated and thoroughly tested framework for: * controlling third-party applications, * devising workflows; querying databases, * conducting novel probabilistic analyses of biological sequence evolution, and * generating publication quality graphics. It is distinguished by many unique built-in capabilities (such as true codon alignment) and the frequent addition of entirely new methods for the analysis of genomic data. Package: python3-confection Description-md5: 095b6b3dd22e7d751ec2af3b1ff21f37 Description-en: sweetest config system for Python3 Confection candy is a lightweight library that offers a configuration system letting you conveniently describe arbitrary trees of objects. . Configuration is a huge challenge for machine-learning code because you may want to expose almost any detail of any function as a hyperparameter. The setting you want to expose might be arbitrarily far down in your call stack, so it might need to pass all the way through the CLI or REST API, through any number of intermediate functions, affecting the interface of everything along the way. And then once those settings are added, they become hard to remove later. Default values also become hard to change without breaking backwards compatibility. . To solve this problem, confection offers a config system that lets you easily describe arbitrary trees of objects. The objects can be created via function calls you register using a simple decorator syntax. You can even version the functions you create, allowing you to make improvements without breaking backwards compatibility. The most similar config system we’re aware of is Gin, which uses a similar syntax, and also allows you to link the configuration system to functions in your code using a decorator. confection's config system is simpler and emphasizes a different workflow via a subset of Gin’s functionality. Package: python3-dials Description-md5: a26aaa4d772b165678054abbbefca9ba Description-en: Diffraction Integration for Advanced Light Sources - Python3 The DIALS software is developed in a fully open-source, collaborative environment. The main development teams are based at Diamond Light Source and CCP4, in the UK, and at Lawrence Berkeley National Laboratory, USA. However, in the spirit of the open source movement, we welcome collaboration from anyone who wishes to contribute to the project. . To avoid “reinventing the wheel” as much as possible, the DIALS project builds on knowledge accumulated over many decades in the field of crystallographic data processing. We benefit greatly from the altruism of experts who contribute their ideas and advice, either directly or via their detailed publications on existing algorithms and packages such as XDS [2] and MOSFLM [3]. At the heart of the DIALS framework lies a design philosophy of hardware abstraction and a generalised model of the experiment that is inspired directly by material published on the seminal workshops on position sensitive detector software [1]. Continuing in the spirit of these workshops we held our own series of meetings, with talks from invited speakers, and code camps in which specific problems are addressed by intensive effort across the collaboration. Summaries of these meetings and copies of slides given as presentations are available here. . DIALS is written using Python and C++, making heavy use of the cctbx [4] for core crystallographic calculations and much infrastructure including a complete build system. Seamless interaction between the C++ and Python components of this hybrid system is enabled by Boost.Python. Python provides a useful ground for rapid prototyping, after which core algorithms and data structures may be transferred over to C++ for speed. High level interfaces of the hybrid system remain in Python, facilitating further development and code reuse both within DIALS and by third parties. . This is the Python 3 version of the package. Package: python3-django-crispy-forms Description-md5: cd8872a7d81d0836d82490e06d77defb Description-en: app for Django providing elegant form rendering (Python3 version) django-crispy-forms provides you with a |crispy filter and {% crispy %} tag that will let you control the rendering behavior of your Django forms in a very elegant and DRY way. Have full control without writing custom form templates. All this without breaking the standard way of doing things in Django, so it plays nice with any other form application. . Django-crispy-forms supports several frontend frameworks, such as Twitter Bootstrap (versions 2, 3 and 4), Uni-form and Foundation. You can also easily adapt your custom company's one, creating your own, see the docs for more information. You can easily switch among them using CRISPY_TEMPLATE_PACK setting variable. . This package contains the Python 3 version of the library. Package: python3-django-crispy-forms-foundation Description-md5: 7abc36c90aaaf520ce9bfc81d5983751 Description-en: Django-crispy-forms layout objects for Foundation for sites (Python3 version) This is a Django application to add django-crispy-forms layout objects for the CSS framework Foundation for sites. It depends on the python3-crispy-forms library. . django-crispy-forms provides you with a |crispy filter and {% crispy %} tag that will let you control the rendering behavior of your Django forms in a very elegant and DRY way. Have full control without writing custom form templates. All this without breaking the standard way of doing things in Django, so it plays nice with any other form application. . django-crispy-forms supports several frontend frameworks, such as Twitter Bootstrap (versions 3 and 4), Uni-form and Foundation. You can also easily adapt your custom company's one, creating your own, see the docs for more information. You can easily switch among them using CRISPY_TEMPLATE_PACK setting variable. . This package contains the Python 3 version of the library. Package: python3-emmet-core Description-md5: 09284ddc098214ecdaf49955214ede5b Description-en: Core models for the Materials Project (Python 3) The Materials API Toolkit for the Materials Project. Emmet-core defines the core models, data pipelines. . This package installs the library for Python 3. Package: python3-fann2 Description-md5: bd7766b851cb1d68579040ab42297d54 Description-en: Python 3 bindings for FANN Fast Artificial Neural Network Library is a free open source neural network library, which implements multilayer artificial neural networks in C with support for both fully connected and sparsely connected networks. . This package contains the Python 3 bindings for FANN. Package: python3-fastparquet Description-md5: 3651548d1250fb7492d5ab338ef6fdd3 Description-en: python implementation of the parquet format This is a python implementation of the parquet format, aiming integrate into python-based big data work-flows. It is used implicitly by the projects Dask, Pandas and intake-parquet. . This module offers a high degree of support for the features of the parquet format, and very competitive performance, in a small install size and codebase. Package: python3-ffcv Description-md5: fc45d0d45cb53d3f6df172de74130e82 Description-en: Fast Forward Computer Vision (and other ML workloads) This is a drop-in data loading system that dramatically increases data throughput in model training. Package: python3-grpcio Description-md5: 75cb8347218d07aad16058ccf058b2c9 Description-en: GRPC system (Python 3) A modern, open source remote procedure call (RPC) framework that can run anywhere. It enables client and server applications to communicate transparently, and makes it easier to build connected systems. . This package contains the Python 3 bindings. Package: python3-httpx Description-md5: 6762dc17c5db1f355a304667af11f924 Description-en: next generation HTTP client HTTPX is a fully featured HTTP client for Python 3, which provides sync and async APIs, and support for both HTTP/1.1 and HTTP/2. . HTTPX is a high performance asynchronous HTTP client, that builds on the well-established usability of requests, and gives you: . * A broadly requests-compatible API. * Standard synchronous interface, but with async support if you need it. * HTTP/1.1 and HTTP/2 support. * Ability to make requests directly to WSGI applications or ASGI applications. * Strict timeouts everywhere. * Fully type annotated. * 99% test coverage. . Plus all the standard features of requests: . * International Domains and URLs * Keep-Alive & Connection Pooling * Sessions with Cookie Persistence * Browser-style SSL Verification * Basic/Digest Authentication * Elegant Key/Value Cookies * Automatic Decompression * Automatic Content Decoding * Unicode Response Bodies * Multipart File Uploads * HTTP(S) Proxy Support * Connection Timeouts * Streaming Downloads * .netrc Support * Chunked Requests Package: python3-meep Description-md5: 1c29e1e26b00e6212b5a7ce7483ddae1 Description-en: software package for FDTD simulation with Python Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains the Python binding Package: python3-meep-mpi-default Description-md5: 1c29e1e26b00e6212b5a7ce7483ddae1 Description-en: software package for FDTD simulation with Python Meep is a free and open-source software package for electromagnetics simulation via the finite-difference time-domain (FDTD) method. . Its features include: * Free and open-source software under the GNU GPL. * Complete scriptability via Python, Scheme, or C++ APIs. * Simulation in 1d, 2d, 3d, and cylindrical coordinates. * Distributed memory parallelism on any system supporting MPI. * Arbitrary anisotropic electric permittivity ε and magnetic permeability μ, along with dispersive ε(ω) and μ(ω) including loss/gain, nonlinear (Kerr & Pockels) dielectric and magnetic materials, electric/magnetic conductivities σ, and saturable gain/absorption. * Perfectly-matched layer (PML) absorbing boundaries as well as Bloch-periodic and perfect-conductor boundary conditions. * Exploitation of symmetries to reduce the computation size, including even/odd mirror planes and 90°/180° rotations. * Arbitrary current sources including a guided-mode launcher. * Frequency-domain solver for finding the response to a continuous-wave (CW) source. * ε/μ and field import/export in the HDF5 data format. * GDSII file import for planar geometries. * Materials library containing predefined broadband, complex refractive indices. * Field analyses including Poynting flux, mode decomposition, near to far transformations, frequency extraction, local density of states (LDOS), modal volume, Maxwell stress tensor, arbitrary functions; completely programmable. . This package contains the Python binding Package: python3-metview Description-md5: d7374649cdfda22352a154130b3c46df Description-en: Python3 support for Metview Metview is the Visualization system from the European Centre for Medium Range Forecasts. It is scriptable, allowing auotmated generation of weather and climate graphics. . This package provides Python3 support for the Metview package Package: python3-mp-api Description-md5: 2e488f276512b2f52480f676e6cf7568 Description-en: Materials Project API for Python The Materials Project API defines a standardized manner in which the Materials Project database can be accessed by its users. The API is typically used by scientific researchers who need to retrieve large amounts of data to support their research. . This package installs the library for Python 3. Package: python3-mpi4py Description-md5: df56770de9e33454460005eda8d75b21 Description-en: bindings of the Message Passing Interface (MPI) standard MPI for Python (mpi4py) provides bindings of the Message Passing Interface (MPI) standard for the Python programming language, allowing any Python program to exploit multiple processors. . mpi4py is constructed on top of the MPI-1/MPI-2 specification and provides an object oriented interface which closely follows MPI-2 C++ bindings. It supports point-to-point (sends, receives) and collective (broadcasts, scatters, gathers) communications of any picklable Python object as well as optimized communications of Python object exposing the single-segment buffer interface (NumPy arrays, builtin bytes/string/array objects). Package: python3-napari Description-md5: 15b2401a7872de383c014d992bb1bc8b Description-en: n-dimensional array viewer in Python Fast, interactive, multi-dimensional image viewer for Python. It's designed for browsing, annotating, and analyzing large multi-dimensional images. It's built on top of Qt (for the GUI), vispy (for performant GPU-based rendering), and the scientific Python stack (numpy, scipy). Package: python3-ntp Description-md5: c4d6513317681c5e7fd330d6d5438546 Description-en: Python 3 NTP Helper Classes NTP, the Network Time Protocol, is used to keep computer clocks accurate by synchronizing them over the Internet or a local network, or by following an accurate hardware receiver that interprets GPS, DCF-77, or similar time signals. . This package contains the Python "ntp" module, which contains helper classes for NTP utilities written in Python. . This is part of NTPsec. NTPsec is a secure, hardened, and improved implementation derived from the original NTP project. Package: python3-numba Description-md5: 4171f6228d88332177aa544844f33488 Description-en: native machine code compiler for Python 3 Numba compiles native machine code instructions from Python programs at runtime using the LLVM compiler infrastructure. It could be easily employed by decorating individual computation intensive functions in the Python code. Numba could significantly speed up the performance of computations, and optionally supports compilation to run on GPU processors through Nvidia's CUDA platform. It integrates well with the Python scientific software stack, and especially recognizes Numpy arrays. . This package contains the modules for Python 3. Package: python3-onnxruntime Description-md5: 58f261b74707bedca4df71a73a331b9f Description-en: cross-platform inference and training ML accelerator (Python bindings) ONNX Runtime is a performance-focused complete scoring engine for Open Neural Network Exchange (ONNX) models, with an open extensible architecture to continually address the latest developments in AI and Deep Learning. ONNX Runtime stays up to date with the ONNX standard with complete implementation of all ONNX operators, and supports all ONNX releases (1.2+) with both future and backwards compatibility. Please refer to this page for ONNX opset compatibility details. . This package provides the onnxruntime Python bindings. Package: python3-opencv Description-md5: dee464304625bd8d8fc8e276062d8904 Description-en: Python 3 bindings for the computer vision library This package contains Python 3 bindings for the OpenCV (Open Computer Vision) library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: python3-opengl Description-md5: 6cd85f297c2f35e11fe3999051811826 Description-en: Python bindings to OpenGL (Python 3) PyOpenGL is a cross-platform open source Python binding to the standard OpenGL API providing 2D and 3D graphic drawing. PyOpenGL supports the GL, GLU, GLE, and GLUT libraries. The library can be used with the Tkinter, wxPython, FxPy, and Win32GUI windowing libraries (or almost any Python windowing library which can provide an OpenGL context). . This is the Python 3 version of the package. Package: python3-orange-spectroscopy Description-md5: 33d43def43dddd318a79e5d6f23fa1c2 Description-en: Extends Orange to handle spectral and hyperspectral analysis Orange add-on for spectral data analysis. Provides spectra and hyperspectra plotting, interpolation, preprocessing (cutting, smoothing, normalization), and integration. Supports common spectral file formats. . Features -------- ### Load and process data * Load the spectroscopy data * Preprocess and interpolate spectra * Integrate spectra * Average spectra * Performs Fast Fourier Transform . ### Visualisation * Visually explore series of spectra * Plots 2D map of hyperspectra Package: python3-plplot Description-md5: eb17ab7bbacade4f6715177fc50d0d4d Description-en: Python support for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the library plmodule.so for glueing the PLplot scientific plotting library into Python applications. Examples are also provided. Package: python3-plplot-qt Description-md5: 083577bfe29461f89e411d0b9f6a52c9 Description-en: Scientific plotting library (Python Qt GUI) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides Python bindings to allow use of the PLplot API in qt applications. Package: python3-poetry Description-md5: fd52036b1bfd02d628f2893af377b412 Description-en: Python dependency management and packaging made easy (Python 3) Poetry helps you declare, manage and install dependencies of Python projects, ensuring you have the right stack everywhere. . This package installs the library for Python 3. Package: python3-poetry-core Description-md5: cf379cd9efeaedf6bde7c5bc81e0f5ac Description-en: Poetry PEP 517 Build Backend A PEP 517 build backend implementation developed for Poetry. This project is intended to be a light weight, fully compliant, self-contained package allowing PEP 517 compatible build frontends to build Poetry managed projects. Package: python3-ppmd Description-md5: 3e1d48dcd312307535298c96b9bda2e6 Description-en: PPMd compression/decompression library PPM(Prediction by partial matching) is a compression algorithm which has several variations of implementations. PPMd is the implementation by Dmitry Shkarin. It is used in the RAR and by 7-Zip as one of several possible methods. . ppmd, aka. ppmd-cffi, is a python bindings with PPMd implementation by C language. The C codes are derived from p7zip, portable 7-zip implementation. ppmd-cffi support PPMd ver.H and PPMd ver.I. Package: python3-pynndescent Description-md5: 7bae7d98c624322f0c1ad77f603f50cd Description-en: nearest neighbor descent for approximate nearest neighbors PyNNDescent is a Python nearest neighbor descent for approximate nearest neighbors. It provides a Python implementation of Nearest Neighbor Descent for k-neighbor-graph construction and approximate nearest neighbor search, as per the paper: . Dong, Wei, Charikar Moses, and Kai Li. "Efficient k-nearest neighbor graph construction for generic similarity measures." Proceedings of the 20th international conference on World wide web. ACM, 2011. . This library supplements that approach with the use of random projection trees for initialisation. This can be particularly useful for the metrics that are amenable to such approaches (euclidean, minkowski, angular, cosine, etc.). Graph diversification is also performed, pruning the longest edges of any triangles in the graph. . Currently this library targets relatively high accuracy (80%-100% accuracy rate) approximate nearest neighbor searches. Package: python3-pynpoint Description-md5: 0192b271bdb8c291e1df6cd310b7f866 Description-en: Pipeline for processing and analysis of high-contrast imaging data This is a generic, end-to-end pipeline for the data reduction and analysis of high-contrast imaging data of planetary and substellar companions, as well as circumstellar disks in scattered light. . The pipeline has a modular architecture with a central data storage in which all results are stored by the processing modules. These modules have specific tasks such as the subtraction of the thermal background emission, frame selection, centering, PSF subtraction, and photometric and astrometric measurements. The tags from the central data storage can be written to FITS, HDF5, and text files with the available I/O modules. Package: python3-pyobjcryst Description-md5: 48c6a1bac20c643b9ffa2109581dcdfc Description-en: Object-Oriented Crystallographic Library bindings (Python 3) Python bindings to ObjCryst++, the Object-Oriented Crystallographic Library. . Some examples offer 3D support which can use python3-ipywidgets. . This package installs the library for Python 3. Package: python3-pytest-httpx Description-md5: 483e7b4f85fb532e5be234e89e3cd5a6 Description-en: Intercept and mock HTTPX requests in pytest Provides an `httpx_mock` fixture for the pytest framework. This fixture allows you to intercept and mock HTTP requests made using the HTTPX library. It supports both synchronous and asynchronous HTTPX requests, enabling you to define custom responses, including JSON bodies, headers, status codes, and more. . This library is useful for testing scenarios where making actual network calls is not feasible or desired. You can simulate various HTTP responses and conditions, ensuring your code handles them correctly. Additionally, pytest-httpx supports dynamic responses via callbacks, request verification, and partial mocking, allowing specific requests to go through unmocked. . Ideal for developers needing to test HTTP interactions in their applications without relying on external services. Package: python3-pytest-xvfb Description-md5: 859b09eeb72da194f2b7ed35419db4ae Description-en: pytest plugin to run Xvfb for tests With Xvfb and this plugin installed, your testsuite automatically runs with Xvfb. This allows tests to be run without windows popping up during GUI tests or on systems without a display (like a CI). . This package provides the Python 3 modules. Package: python3-pyverbs Description-md5: eb366711c1e35a95c13ceb7184ab8fd3 Description-en: Python bindings for rdma-core Pyverbs provides a Python API over rdma-core, the Linux userspace C API for the remote direct memory access (RDMA) stack. . One goal is to provide easier access to RDMA: RDMA has a steep learning curve as is and the C interface requires the user to initialize multiple structs before having usable objects. Pyverbs attempts to remove much of this overhead and provide a smoother user experience. Package: python3-rdkit Description-md5: a547d3804fe7cf12ca309130bea8f375 Description-en: Collection of cheminformatics and machine-learning software RDKit is a Python/C++ based cheminformatics and machine-learning software environment. Features Include: . * Chemical reaction handling and transforms * Substructure searching with SMARTS * Canonical SMILES * Molecule-molecule alignment * Large number of molecular descriptors, including topological, compositional, EState, SlogP/SMR, VSA and Feature-map vectors * Fragmentation using RECAP rules * 2D coordinate generation and depiction, including constrained depiction * 3D coordinate generation using geometry embedding * UFF and MMFF94 forcefields * Chirality support, including calculation of (R/S) stereochemistry codes * 2D pharmacophore searching * Fingerprinting, including Daylight-like, atom pairs, topological torsions, Morgan algorithm and MACCS keys * Calculation of shape similarity * Multi-molecule maximum common substructure * Machine-learning via clustering and information theory algorithms * Gasteiger-Marsili partial charge calculation . File formats RDKit supports include MDL Mol, PDB, SDF, TDT, SMILES and RDKit binary format. Package: python3-resampy Description-md5: c03577f1ef5f5b4e65fc83c09727a2eb Description-en: Efficient signal resampling Implements band-limited sinc interpolation method for sampling rate conversion. Package: python3-respx Description-md5: 32d79ae1bc29168d99da4384cc91c857 Description-en: Utility for mocking out the Python HTTPX and HTTP Core libraries RESPX is a mock router, capturing requests sent by HTTPX, mocking their responses. Inspired by the flexible query API of the Django ORM, requests are filtered and matched against routes and their request patterns and lookups. Package: python3-sklearn Description-md5: 9cf0eb4ed22f5e5bc313b1554c9d5c58 Description-en: Python modules for machine learning and data mining - Python 3 scikit-learn is a collection of Python modules relevant to machine/statistical learning and data mining. Non-exhaustive list of included functionality: - Gaussian Mixture Models - Manifold learning - kNN - SVM (via LIBSVM) . This package contains the Python 3 version. Package: python3-sklearn-lib Description-md5: 81900007286d0bc4d155e1022943f0f5 Description-en: low-level implementations and bindings for scikit-learn - Python 3 This is an add-on package for python-sklearn. It provides low-level implementations and custom Python bindings for the LIBSVM library. . This package contains the Python 3 version. Package: python3-slixmpp Description-md5: f715958427047f78c36a0bd75d1756e0 Description-en: Threadless, event-based XMPP Python 3 library Slixmpp is a (friendly) fork of SleekXMPP, which goal is to “improve” the core of the library by entirely removing all threads from the library and using an event-based approach instead. . Features of this library: * No threads. See http://blog.louiz.org/slixmpp. All parts of the API relying on threads are removed. * No support for Python < 3.4. This allows one to remove a lot of workaround and other hacks. * No backward compatibility with old SleekXMPP version. For example things like “addHandler = add_handler” are removed. . You should use this library only if you would prefer not to use threads in your application. If threads don’t really bother you, or if you need Python 2 support, then you should use SleekXMPP instead. Package: python3-sparse Description-md5: 1562b1a9e9bf72cd7e4a98f4c276b81c Description-en: multidimensional sparse arrays for Python This package implements sparse multidimensional arrays on top of NumPy and scipy.sparse. It generalizes the scipy.sparse.coo_matrix layout but extends beyond just rows and columns to an arbitrary number of dimensions. The original motivation is for machine learning algorithms, but it is intended for somewhat general use. . It supports: . - NumPy ufuncs (where zeros are preserved) - Arithmetic with scalars (where zeros are preserved) - Reductions (sum, max) - Reshape - Transpose - Tensordot - Slicing with integers, lists, and slices (with no step value) - Concatenation and stacking - Addition with other sparse arrays of the same shape . This package provides the modules for Python 3. Package: python3-surfer Description-md5: d67c09269586f78115cd3c00f10c1913 Description-en: visualize Freesurfer's data in Python3 This is a Python3 package for visualization and interaction with cortical surface representations of neuroimaging data from Freesurfer. It extends Mayavi’s powerful visualization engine with a high-level interface for working with MRI and MEG data. . PySurfer offers both a command-line interface designed to broadly replicate Freesurfer’s Tksurfer program as well as a Python library for writing scripts to efficiently explore complex datasets. Package: python3-tree-sitter-sdml Description-md5: 11a9b42e933aa7e980e50a8f0b98e6bc Description-en: sdml grammar for the tree-sitter parser - Python bindings tree-sitter-sdml is a tree-sitter grammar for SDML. . Tree-sitter is a parser generator and incremental parsing library. . The Simple Domain Modeling Language (SDML) is a small data-oriented language for constructing, documenting, and reasoning about a conceptual domain model. . This package contains the Python 3.x bindings for tree-sitter-sdml. Package: python3-triton Description-md5: e0f427308b31686b455ed02a948422ff Description-en: language and compiler for custom Deep Learning operations For writing highly efficient custom Deep-Learning primitives. The aim of Triton is to provide an open-source environment to write fast code at higher productivity than CUDA, but also with higher flexibility than other existing domain specific languages. Package: python3-xhtml2pdf Description-md5: 7f687876b2fd090f72527cd4217684f3 Description-en: Converts HTML into PDFs using ReportLab xhtml2pdf is a html2pdf converter using the ReportLab Toolkit, the HTML5lib and pyPdf. It supports HTML 5 and CSS 2.1 (and some of CSS 3). It is completely written in pure Python so it is platform independent. . The main benefit of this tool that a user with Web skills like HTML and CSS is able to generate PDF templates very quickly without learning new technologies. . xhtml2pdf was previously developed as "pisa". . This package installs the library for Python 3. Package: python3-xraylarch Description-md5: 8ee6879519cedff33e43fe02093edede Description-en: X-ray absorption, fluorescence spectroscopy and diffraction data analysis Larch is a library and set of applications for processing and analyzing X-ray absorption and fluorescence spectroscopy data and X-ray fluorescence and diffraction image data from synchrotron beamlines. . Larch is especially focussed on X-ray absorption fine-structure spectroscopy (XAFS) including X-ray absorption near-edge spectroscopy (XANES) and extended X-ray absorption fine-structure spectroscopy (EXAFS). It also supports visualization and analysis tools for X-ray fluorescence (XRF) spectra and XRF and X-ray diffraction (XRD) images as collected at scanning X-ray microprobe beamlines. . This package installs the larch module and scripts for Python 3. . * GSE MapViewer for GSECARS X-ray microprobe data. * Larch CLI and Gtk GUI. * XAS Viewer for XAFS Processing and Analysis. * XRF Display to display and analyze XRF Spectra. . The Larch CLI is available as larch_cli in Debian. Package: python3-zigpy Description-md5: ce8ba46882fb209c80d2129c2cca859e Description-en: Python Zigbee stack Zigpy is a hardware independent Zigbee protocol stack integration project to implement Zigbee standard specifications as a Python 3 library. . Zigbee integration via zigpy allows you to connect one of many off-the-shelf Zigbee Coordinator adapters using one of the available Zigbee radio library modules compatible with zigpy to control Zigbee based devices. There is currently support for controlling Zigbee device types such as binary sensors (e.g., motion and door sensors), sensors (e.g., temperature sensors), lights, switches, buttons, covers, fans, climate control equipment, locks, and intruder alarm system devices. Package: python3-zigpy-deconz Description-md5: e194f5c9225b36436cdde82487aee615 Description-en: Communication library for deCONZ-based Zigbee devices This library provides a method for communication with Zigbee devices using the deCONZ serial protocol, developed by Dresden-Elektronik. It integrates with Zigpy to facilitate the connection and management of various Zigbee modules such as ConBee and RaspBee. The library enables interaction with a wide range of Zigbee devices over a Zigbee network, ensuring efficient data exchange and network management. It acts as a bridge enabling Zigbee modules to communicate with smart home systems and applications. Package: python3-zigpy-xbee Description-md5: bc7aca911abb04e5ae0a085f99b86e2f Description-en: Python library to interface with XBee radios using the zigpy library This library facilitates the integration of XBee modules with various home automation systems, especially Home Assistant, via the Zigbee Home Automation standard. It supports a range of Digi XBee radio modules and allows for configurations through UART connections. Users can manage their XBee devices within their Zigbee networks to control a wide array of compatible Zigbee smart devices. Package: python3-zigpy-zigate Description-md5: 53d2f399bacf2ca23bdfe879765b2931 Description-en: Zigbee communication library for ZiGate-based devices This library implements the Zigbee communication protocol specifically for ZiGate-based devices, enabling them to integrate seamlessly with various platforms. It allows users to control and manage their Zigbee devices through a unified interface, ensuring compatibility and reliable performance across different hardware configurations. Support is provided for USB, GPIO, and Wi- Fi configured devices, facilitating flexible deployment options within a Zigbee network. The library enables communication between the Zigbee Coordinator (ZiGate) and a wide range of Zigbee devices, including sensors, lights, switches, and other smart home equipment. Package: qemu-efi-loongarch64 Description-md5: 875c28378c239de9c3d87f72a88bfdea Description-en: UEFI firmware for LoongArch64 virtual machines qemu-efi-loongarch64 is a build of EDK II for LoongArch64 virtual machines. Package: qemu-efi-riscv64 Description-md5: bea0d42ba09c4d3efd8cfad3efdd9210 Description-en: UEFI firmware for RISCV64 virtual machines qemu-efi-riscv64 is a build of EDK II for RISCV64 virtual machines. Package: r-cran-broom.helpers Description-md5: c835a92ab8139ff0c3731badb651a44c Description-en: GNU R helpers for model coefficients tibbles Provides suite of functions to work with regression model 'broom::tidy()' tibbles. The suite includes functions to group regression model terms by variable, insert reference and header rows for categorical variables, add variable labels, and more. Package: r-cran-bslib Description-md5: 5a6fbb78c818670687bc252e6d653252 Description-en: custom 'bootstrap' 'Sass' themes for GNU R shiny and rmarkdown Simplifies custom 'CSS' styling of both 'shiny' and 'rmarkdown' via 'Bootstrap' 'Sass'. Supports both 'Bootstrap' 3 and 4 as well as their various 'Bootswatch' themes. An interactive widget is also provided for previewing themes in real time. Package: r-cran-dendextend Description-md5: 462e930d6a9e7bb10f3183a8db7bd5e7 Description-en: Extending 'dendrogram' Functionality in GNU R Offers a set of functions for extending 'dendrogram' objects in R, letting you visualize and compare trees of 'hierarchical clusterings'. You can . (1) Adjust a tree's graphical parameters - the color, size, type, etc of its branches, nodes and labels. (2) Visually and statistically compare different 'dendrograms' to one another. Package: r-cran-fansi Description-md5: dbfc27fd60d1ecc3971cf747381f6b24 Description-en: GNU R ANSI control sequence aware string functions This GNU R package Counterparts to R string manipulation functions that account for the effects of ANSI text formatting control sequences. Package: r-cran-gbm Description-md5: bb38159ea69aa73da1340c69243cf5cd Description-en: GNU R package providing Generalized Boosted Regression Models This package implements extensions to Freund and Schapire's AdaBoost algorithm and Friedman's gradient boosting machine. Includes regression methods for least squares, absolute loss, t-distribution loss, quantile regression, logistic, multinomial logistic, Poisson, Cox proportional hazards partial likelihood, AdaBoost exponential loss, Huberized hinge loss, and Learning to Rank measures (LambdaMart). Package: r-cran-glmmtmb Description-md5: e1b6575a731075ace68532f6c752e23c Description-en: Generalized Linear Mixed Models using Template Model Builder Fit linear and generalized linear mixed models with various extensions, including zero-inflation. The models are fitted using maximum likelihood estimation via 'TMB' (Template Model Builder). Random effects are assumed to be Gaussian on the scale of the linear predictor and are integrated out using the Laplace approximation. Gradients are calculated using automatic differentiation. Package: r-cran-mass Description-md5: 1637487ae1fdcbcc075337db596a5348 Description-en: GNU R package of Venables and Ripley's MASS The MASS package provides functions and datasets to support the book 'Modern Applied Statistics with S' (4th edition) by W.N. Venables and B.D. Ripley. The following URL provides more details about the book: URL: http://www.stats.ox.ac.uk/pub/MASS4 Package: r-cran-rmpfr Description-md5: e2784fa42d97ec6cdfe1c32f9b19408a Description-en: R MPFR - Multiple Precision Floating-Point Reliable Arithmetic (via S4 classes and methods) for arbitrary precision floating point numbers, including transcendental ("special") functions. To this end, the package interfaces to the 'LGPL' licensed 'MPFR' (Multiple Precision Floating-Point Reliable) Library which itself is based on the 'GMP' (GNU Multiple Precision) Library. Package: r-cran-survminer Description-md5: 5cf5ccf1c5501f7a165d68ec73b33922 Description-en: Drawing Survival Curves using 'ggplot2' Contains the function 'ggsurvplot()' for drawing easily beautiful and 'ready-to-publish' survival curves with the 'number at risk' table and 'censoring count plot'. Other functions are also available to plot adjusted curves for `Cox` model and to visually examine 'Cox' model assumptions. Package: r-cran-tmb Description-md5: 3acfadbd06aa294f5db5f46280bdc0a8 Description-en: GNU R template model builder: general random effect tool With this tool, a user should be able to quickly implement complex random effect models through simple C++ templates. The package combines 'CppAD' (C++ automatic differentiation), 'Eigen' (templated matrix-vector library) and 'CHOLMOD' (sparse matrix routines available from R) to obtain an efficient implementation of the applied Laplace approximation with exact derivatives. Key features are: Automatic sparseness detection, parallelism through 'BLAS' and parallel user templates. Package: r-cran-units Description-md5: b0cef51a2ca61f8ff411515f50bb3b0f Description-en: Measurement Units for R Vectors Support for measurement units in R vectors, matrices and arrays: automatic propagation, conversion, derivation and simplification of units; raising errors in case of unit incompatibility. Compatible with the POSIXct, Date and difftime classes. Uses the UNIDATA udunits library and unit database for unit compatibility checking and conversion. Package: raku-json-name Description-md5: 6ff07a04240e05774b4ea9ab2cafce57 Description-en: Provides a trait to store an alternative JSON Name This Raku module is a dependency of JSON::Marshal and JSON::Unmarshal in order to save duplication, it is intended to store a separate JSON name for an attribute where the name of the JSON attribute might be changed, either for aesthetic reasons or the name is not a valid Raku identifier. It will of course also be needed in classes that are going to use JSON::Marshal or JSON::Unmarshal for serialisation/de-serialisation. . Of course it could be used in other modules for a similar purpose. Package: raku-json-optin Description-md5: 317e8a4fa5916d809bc528cd930af5dd Description-en: Raku trait and role to identify opt-in JSON serialization This Raku package provides a trait and a role for the identification of 'opt in' serialisiable attributes in, for example, JSON::Marshal. . According to upstream, it's probably not necessary to use this directly as it will be required by e.g. JSON::Name but it's just more convenient to package it separately. Package: raku-uri Description-md5: c412e9bb8e3de240410af1f3ff784de6 Description-en: URI handler for Raku A URI implementation using Raku grammars to implement RFC 3986 BNF. Currently only implements parsing. Package: rakudo Description-md5: 2661cbe6714dbf391822669a08c87c3a Description-en: Raku implementation on top of MoarVM Rakudo is a compiler that implements the Raku (formerly Perl 6) specification and runs on top of several virtual machines. Debian rakudo package runs on top of MoarVM. . Provides commands starting with "raku", "rakudo", and "perl6". . valgrind package installation is suggested to debug issues with rakudo-valgrind-m program. Package: rdiff-backup Description-md5: f0d05d9b77697c469e8b0b53030e2a32 Description-en: remote incremental backup rdiff-backup backs up one directory to another, possibly over a network. The target directory ends up a copy of the source directory, but extra reverse diffs are stored in a special subdirectory of that target directory, so you can still recover files lost some time ago. The idea is to combine the best features of a mirror and an incremental backup. rdiff-backup also preserves subdirectories, hard links, dev files, permissions, uid/gid ownership, modification times, extended attributes, acls, and resource forks. . Also, rdiff-backup can operate in a bandwidth efficient manner over a pipe, like rsync. Thus you can use rdiff-backup and ssh to securely back a hard drive up to a remote location, and only the differences will be transmitted. Finally, rdiff-backup is easy to use and settings have sensible defaults. Package: rdkit-data Description-md5: 650c372561c1f5f21f366a51814eaa65 Description-en: Collection of cheminformatics and machine-learning software (data files) RDKit is a Python/C++ based cheminformatics and machine-learning software environment. . This package contains data files. Package: rdkit-doc Description-md5: f66c7299bc3ae5f8a56ac2defc65028c Description-en: Collection of cheminformatics and machine-learning software (documentation) RDKit is a Python/C++ based cheminformatics and machine-learning software environment. . This package contains the documentation. Package: rdmacm-utils Description-md5: 591c8e65f0c2aa1a783439356379e1b5 Description-en: Examples for the librdmacm library librdmacm is a library that allows applications to set up reliable connected and unreliable datagram transfers when using RDMA adapters. It provides a transport-neutral interface in the sense that the same code can be used for both InfiniBand and iWARP adapters. The interface is based on sockets, but adapted for queue pair (QP) based semantics: communication must use a specific RDMA device, and data transfers are message-based. . librdmacm only provides communication management (connection setup and tear-down) and works in conjunction with the verbs interface provided by libibverbs, which provides the interface used to actually transfer data. . This package contains useful librdmacm example programs such as rping and udaddy. Package: redmine Description-md5: 3a216a1439e1b07aad3aecd0c613d53b Description-en: flexible project management web application Redmine is a flexible project management web application. Written using Ruby on Rails framework, it is cross-platform and cross-database. . Dependencies for database support are provided by these metapackages: redmine-mysql, redmine-pgsql, redmine-sqlite. . Features * Multiple projects support * Flexible role based access control * Flexible issue tracking system * Gantt chart and calendar * News, documents & files management * Feeds & email notifications * Per project wiki * Per project forums * Time tracking * Custom fields for issues, time-entries, projects and users * SCM integration (SVN, CVS, Git, Mercurial, Bazaar and Darcs) * Issue creation via email * Multiple LDAP authentication support * User self-registration support * Multilanguage support Package: redmine-mysql Description-md5: 5334d370ac0b467914273b70a17e9522 Description-en: metapackage providing MySQL dependencies for Redmine This package only provides MySQL dependencies for Redmine, a flexible project management web application. Install this one if you want to use a MySQL database with Redmine. . Redmine package should be installed along with this package. Package: redmine-pgsql Description-md5: f308cd100ee4983a5adb6ff3370520bf Description-en: metapackage providing PostgreSQL dependencies for Redmine This package provides PostgreSQL dependencies for Redmine, a flexible project management web application. Install this one if you want to use a PostgreSQL database with Redmine. . Redmine package should be installed along with this package. Package: redmine-sqlite Description-md5: 92a51359a57c7c0c86638eb96a7a1e4e Description-en: metapackage providing sqlite dependencies for Redmine This package provides sqlite dependencies for Redmine, a flexible project management web application. Install this one if you want to use a sqlite database with Redmine. . Redmine package should be installed along with this package. Package: rocminfo Description-md5: 1f5df0ccf58846dc9fb3b77e755c719f Description-en: ROCm Application for Reporting System Info rocminfo gives information about the HSA system attributes and agents. This package is part of the AMD ROCm software stack. Package: ruby-adsf Description-md5: 7dd0acc17fc487794200d3fef5299ce2 Description-en: tiny static file server adsf (A Dead Simple Fileserver) is a tiny web server that can be spawned in any directory to serve static files. It can be used programmatically in Rack and other Ruby applications. . For example, the nanoc static website generator uses it its "view" command. Package: ruby-adsf-live Description-md5: b32fbc9a066353d7dee4cee5c08e4f32 Description-en: live reload support for ruby-adsf tiny static file server adsf (A Dead Simple Fileserver) is a tiny web server that can be spawned in any directory to serve static files. It can be used programmatically in Rack and other Ruby applications. . This package provide the adsf --live-reload support. . For example, the nanoc static website generator uses it its "live" command. Package: ruby-attr-encrypted Description-md5: 60538f8a19df3eec979801aed1b13230 Description-en: Encrypt and decrypt attributes Generates attr_accessors that encrypt and decrypt attributes transparently. It works with ANY class, however, you get a few extra features when you're using it with ActiveRecord, DataMapper, or Sequel Package: ruby-concurrent Description-md5: 04fc348d434f7acc0aa5945f83e5eedb Description-en: Modern concurrency tools for Ruby Concurrent Ruby provides modern concurrency tools including agents, futures, promises, thread pools, actors, supervisors, and more. Inspired by Erlang, Clojure, Go, JavaScript, actors, and classic concurrency patterns. . This package also includes experimental edge features via the concurrent-ruby-edge gem and the Java native extension for JRuby. Package: ruby-concurrent-ext Description-md5: 7312ea8df0f5d7cd38e9cd02a109521c Description-en: Modern concurrency tools for Ruby (C extensions for MRI) Concurrent Ruby provides modern concurrency tools including agents, futures, promises, thread pools, actors, supervisors, and more. Inspired by Erlang, Clojure, Go, JavaScript, actors, and classic concurrency patterns. . This package provides the C extensions to optimize concurrent-ruby under MRI. Package: ruby-grpc Description-md5: f31bec47a0105a73175bcbafa43c1da7 Description-en: GRPC system in Ruby Send RPCs from Ruby using GRPC. GRPC is a high performance, open-source universal RPC framework. . Some features of gRPC: * Simple service definition * Works across languages and platforms * Start quickly and scale * Bi-directional streaming and integrated auth Package: ruby-grpc-tools Description-md5: bfd4a897d4dae39bcf345165413e3115 Description-en: Development tools for Ruby gRPC Ruby gRPC library allows sending RPCs from Ruby using GRPC. GRPC is a high performance, open-source universal RPC framework. Package: ruby-mini-magick Description-md5: a44f2635e5337409abb15fe127fe2f83 Description-en: wrapper for ImageMagick with a small memory footprint MiniMagick is a Ruby library providing a wrapper around ImageMagick or GraphicsMagick, allowing manipulation of images with minimal use of memory. MiniMagick gives access to all the commandline options provided by ImageMagick. Package: ruby-nokogiri Description-md5: 8b5216e340ca3509e38f4420fb6ca92a Description-en: HTML, XML, SAX, and Reader parser for Ruby Nokogiri (鋸) is an HTML, XML, SAX, and Reader parser. It is able to search documents via XPath or CSS3 selectors, and is a drop-in replacement for Hpricot (though not bug for bug). Package: ruby-octokit Description-md5: 0935ca9194eafaedd25a13741a4ec20e Description-en: Ruby toolkit for working with the GitHub API ruby-octokit wraps the GitHub API in a flat API client that follows Ruby conventions and requires little knowledge of REST. . It provides all expected features needed to interact with Github or any other implementation of their API: . - Authenticated (basic and oauth) and unauthenticated requests. - Access to all resources and fields. - Direct access to HTTP response headers if needed. - Pagination. - Hypermedia features (ruby-octokit is built on top of ruby-sawyer). Package: ruby-omniauth-openid-connect Description-md5: 8f1b8ce411cfcd87dc189084f4aed79c Description-en: OpenID Connect Strategy for OmniAuth This gem intends for an OpenID Connect Strategy for OmniAuth. . The name configuration exists because you could be using multiple OpenID Connect providers in a single app. . This package provides gitlab-omniauth-openid-connect Package: ruby-rack-session Description-md5: b261965f1b4397b64b65da01265633fd Description-en: Session management implementation for Rack A session implementation for Rack. Package: ruby-rackup Description-md5: 72a438cc22a13c6d9f376f8c61982426 Description-en: General server command for Rack applications rackup provides a command line interface for running a Rack-compatible application. Package: ruby-rails-html-sanitizer Description-md5: 7c513cff28c1362b292745527d2dc320 Description-en: HTML sanitization for Rails applications This gem is responsible to sanitize HTML fragments in Rails applications. In Rails 4.2 and above this gem will be responsible for sanitizing HTML fragments in Rails applications, i.e. in the sanitize, sanitize_css, strip_tags and strip_links methods. Package: ruby-sanitize Description-md5: 2b64f78c43810e31c817317190e764b0 Description-en: whitelist-based HTML sanitizer Sanitize is a whitelist-based HTML sanitizer. Given a list of acceptable elements and attributes, Sanitize will remove all unacceptable HTML from a string. . Using a simple configuration syntax, you can tell Sanitize to allow certain elements, certain attributes within those elements, and even certain URL protocols within attributes that contain URLs. Any HTML elements or attributes that you don't explicitly allow will be removed. . Because it's based on Nokogiri, a full-fledged HTML parser, rather than a bunch of fragile regular expressions, Sanitize has no trouble dealing with malformed or maliciously-formed HTML and returning safe output. Package: ruby-sinatra-contrib Description-md5: 56ae0b4094103de483ea43df9b7167ef Description-en: collection of useful extensions to the Sinatra web framework sinatra-contrib is a collection of common extensions to the Sinatra web framework, semi-officially supported: - capture: Lets you capture the content of blocks in templates. - config_file: Allows loading configuration from yaml files. - content_for: Adds Rails-style content_for helpers to Haml, Erb, Erubis and Slim. - cookies: A cookies helper for reading and writing cookies. - engine_tracking: Adds methods like haml? that allow helper methods to check whether they are called from within a template. - json: Adds a #json helper method to return JSON documents. - link_header: Helpers for generating link HTML tags and corresponding Link HTTP headers. Adds link, stylesheet and prefetch helper methods. - multi_route: Adds ability to define one route block for multiple routes and multiple or custom HTTP verbs. - namespace: Adds namespace support to Sinatra. - respond_with: Choose action and/or template automatically depending on the incoming request. Adds helpers respond_to and respond_with. - custom_logger: Allows one to define their own logger instance. - decompile: Recreates path patterns from Sinatra's internal data structures (used by other extensions). - reloader: Automatically reloads Ruby files on code changes. - extension: Mixin for writing your own Sinatra extensions. - test_helpers: Helper methods to ease testing your Sinatra application. Partly extracted from Sinatra. Testing framework agnostic. Package: ruby-vips Description-md5: ecf83a71649d0f57b8f09dece08705aa Description-en: Ruby extension for the vips image processing library This package provides a binding for the vips image processing library. It is extremely fast and it can process huge images without loading the whole image in memory. Package: sbcl Description-md5: eb1113dee9d2ad5949c6cfc6301108ec Description-en: Common Lisp compiler and development system SBCL is a development environment for the ANSI Common Lisp language. It provides a native-code compiler and an integrated debugger, as well as all the features in the ANSI specification. . SBCL also contains other extensions to the ANSI specification, including a foreign-function interface, a pseudo-server API, user-extensible stream functionality, a Meta-Object Protocol, and an ability to run external processes. . To browse SBCL source definitions with development environments, install the sbcl-source package. For documentation on SBCL's usage and internals, the package sbcl-doc is provided. Package: sbcl-doc Description-md5: bf2cec45285d0c3bfa96c64bda87fbd5 Description-en: Documentation for Steel Bank Common Lisp This package provides documentation for SBCL -- a development environment for the ANSI Common Lisp language. . The documents in this package cover SBCL-specific extensions to the language, explain how to use this Common Lisp implementation and how it differs from the ANSI standard. . The manual about SBCL's internals is also included in this package and may be of interest for people who intent to work with SBCL's source code. Package: sbcl-source Description-md5: ee91a0cda511e6d9ca51542b0320b384 Description-en: Source code files for SBCL This package provides the source code for SBCL -- a development environment for the ANSI Common Lisp language. . The source code is useful in conjunction with development environments and debuggers like SLIME, that allow macro expansion and lookup of source definitions. . Installing this package enables your source browser to dig deeply into the core of Steel Bank Common Lisp. Package: scummvm Description-md5: fef78cb5d2135ebe38a742f7edcc4c3e Description-en: engine for several graphical adventure games ScummVM is a `virtual machine' for several classic graphical point-and-click adventure games. It is designed to run Adventure Soft's Simon the Sorcerer 1 and 2, Revolution's Beneath A Steel Sky, and games based on LucasArts' SCUMM (Script Creation Utility for Maniac Mansion) system. SCUMM is used for many games, including Monkey Island, Day of the Tentacle, Sam and Max and more. See the official compatibility list at for a full list of supported games. . The game data of four games compatible with ScummVM is included in Debian. These are: . - Beneath a Steel Sky (package: beneath-a-steel-sky) - Drascula: The Vampire Strikes Back (package: drascula) - Flight of the Amazon Queen (package: flight-of-the-amazon-queen) - Lure of the Temptress (package: lure-of-the-temptress) . To actually make use of ScummVM, you'll have to install one of these, or obtain the proprietary game data for another supported game from somewhere else. Package: scummvm-data Description-md5: 4387e2781b809212c27376c76bb03fc4 Description-en: engine for several graphical adventure games (data files) ScummVM is a `virtual machine' for several classic graphical point-and-click adventure games. It is designed to run Adventure Soft's Simon the Sorcerer 1 and 2, Revolution's Beneath A Steel Sky, and games based on LucasArts' SCUMM (Script Creation Utility for Maniac Mansion) system. SCUMM is used for many games, including Monkey Island, Day of the Tentacle, Sam and Max and more. See the official compatibility list at for a full list of supported games. . The game data of four games compatible with ScummVM is included in Debian. These are: . - Beneath a Steel Sky (package: beneath-a-steel-sky) - Drascula: The Vampire Strikes Back (package: drascula) - Flight of the Amazon Queen (package: flight-of-the-amazon-queen) - Lure of the Temptress (package: lure-of-the-temptress) . To actually make use of ScummVM, you'll have to install one of these, or obtain the proprietary game data for another supported game from somewhere else. . These packages provides data files needed to run some engines supported by ScummVM. Package: seatd Description-md5: 87529e8f20191dfe3d8e2d2d24590acb Description-en: minimal user, seat and session management daemon Lightweight standalone implementation. Package: secilc Description-md5: 29b4026a3e7dfc709c9f57ef9b0686e7 Description-en: SELinux CIL compiler Security-enhanced Linux is a patch of the Linux® kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement®, Role-based Access Control, and Multi-level Security. . The SELinux CIL Compiler is a compiler that converts the CIL language as described on the CIL design wiki into a kernel binary policy file. Package: secilc-doc Description-md5: 5b7d19c93a5d6e63b5305364f489150d Description-en: documentation for the SELinux CIL Compiler Security-enhanced Linux is a patch of the Linux® kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement®, Role-based Access Control, and Multi-level Security. . The SELinux CIL Compiler is a compiler that converts the CIL language as described on the CIL design wiki into a kernel binary policy file. . This package contains the documentation. Package: semodule-utils Description-md5: 13e71e2e1a7e23908c56e2c10d0a767b Description-en: SELinux core policy utilities (modules utilities) Security-enhanced Linux is a patch of the Linux® kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement®, Role-based Access Control, and Multi-level Security. . This package contains the tools used to build policy modules in an SELinux environment. Package: sepol-utils Description-md5: a1b596ce0ddd460c537eafbf4c1d7137 Description-en: Security Enhanced Linux policy utility programs This package provides a utility for a Security-enhanced Linux system to rewrite existing mandatory access control policy with different boolean setting, generating a new policy. Security-enhanced Linux is a patch of the Linux kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. This package provides utility programs to get and set process and file security contexts and to obtain security policy decisions. Package: shortwave Description-md5: 780f3173761907eaa86402d9f33f66bb Description-en: listen to internet radio Shortwave is an internet radio player that provides access to a station database with over 30,000 stations. Saving favorite stations and download of single tracks is also possible. Additionaly, shortwave features a miniplayer. . Shortwave is a GNOME Circle app. Package: skopeo Description-md5: d03726f6234fe2b000f2b3e7e3028234 Description-en: Tooling to work with remote images registries skopeo is a command line utility that performs various operations on container images and image repositories. . skopeo can work with OCI images (https://github.com/opencontainers/image-spec) as well as the original Docker v2 images. Package: sntp Description-md5: b5be4c6ce149fe58ec50c630985473aa Description-en: Network Time Protocol client (transitional package) This is a transitional package to transition to NTPsec. It can be safely removed once all uses of sntp(1) have been converted to run ntpdig instead. Package: soundgrain Description-md5: b7af2b59e227c94fde99dfea3e3c5fe6 Description-en: Graphical interface to control granular sound synthesis modules Soundgrain is a graphical interface where users can draw and edit trajectories to control granular sound synthesis. It is written in Python and WxPython and uses pyo as its audio engine. Package: spades Description-md5: d8ff9082fb3dd433b6c561c43ac72ddb Description-en: genome assembler for single-cell and isolates data sets The SPAdes – St. Petersburg genome assembler is intended for both standard isolates and single-cell MDA bacteria assemblies. It works with Illumina or IonTorrent reads and is capable of providing hybrid assemblies using PacBio and Sanger reads. You can also provide additional contigs that will be used as long reads. . This package provides the following additional pipelines: * metaSPAdes – a pipeline for metagenomic data sets * plasmidSPAdes – a pipeline for extracting and assembling plasmids from WGS data sets * metaplasmidSPAdes – a pipeline for extracting and assembling plasmids from metagenomic data sets * rnaSPAdes – a de novo transcriptome assembler from RNA-Seq data * truSPAdes – a module for TruSeq barcode assembly * biosyntheticSPAdes – a module for biosynthetic gene cluster assembly with paired-end reads . SPAdes provides several stand-alone binaries with relatively simple command-line interface: k-mer counting (spades-kmercounter), assembly graph construction (spades-gbuilder) and long read to graph aligner (spades-gmapper). Package: speech-dispatcher-baratinoo Description-md5: 084e6e01506ac290c402b752a5ca7014 Description-en: Speech Dispatcher: Baratinoo (VoxyGen) output module Speech Dispatcher provides a device independent layer for speech synthesis. It supports various software and hardware speech synthesizers as backends and provides a generic layer for synthesizing speech and playing back PCM data via those different backends to applications. . Various high level concepts like enqueueing vs. interrupting speech and application specific user configurations are implemented in a device independent way, therefore freeing the application programmer from having to yet again reinvent the wheel. . This package contains the output module for the Baratinoo speech synthesizer, also called VoxyGen, which needs to be installed separately. Package: speech-dispatcher-cicero Description-md5: 9e182857a5e0c4c516f383369f33b4fc Description-en: Speech Dispatcher: Cicero output module Speech Dispatcher provides a device independent layer for speech synthesis. It supports various software and hardware speech synthesizers as backends and provides a generic layer for synthesizing speech and playing back PCM data via those different backends to applications. . Various high level concepts like enqueueing vs. interrupting speech and application specific user configurations are implemented in a device independent way, therefore freeing the application programmer from having to yet again reinvent the wheel. . This package contains the output module for the cicero speech synthesizer. Package: speech-dispatcher-doc-cs Description-md5: b463f3da80af05647cf963ceca972794 Description-en: Speech Dispatcher documentation in Czech Speech Dispatcher provides a device independent layer for speech synthesis. It supports various software and hardware speech synthesizers as backends and provides a generic layer for synthesizing speech and playing back PCM data via those different backends to applications. . Various high level concepts like enqueueing vs. interrupting speech and application specific user configurations are implemented in a device independent way, therefore freeing the application programmer from having to yet again reinvent the wheel. . This package contains Czech documentation of Speech Dispatcher. Package: speech-dispatcher-espeak Description-md5: c436e124ed7180342bc3f4883f2c2dd4 Description-en: Speech Dispatcher: Espeak output module Speech Dispatcher provides a device independent layer for speech synthesis. It supports various software and hardware speech synthesizers as backends and provides a generic layer for synthesizing speech and playing back PCM data via those different backends to applications. . Various high level concepts like enqueueing vs. interrupting speech and application specific user configurations are implemented in a device independent way, therefore freeing the application programmer from having to yet again reinvent the wheel. . This package contains the output module for the espeak speech synthesizer. Package: speech-dispatcher-festival Description-md5: 91fc9a72e7823873db91972bc6eaa0be Description-en: Festival support for Speech Dispatcher Speech Dispatcher provides a device independent layer for speech synthesis. It supports various software and hardware speech synthesizers as backends and provides a generic layer for synthesizing speech and playing back PCM data via those different backends to applications. . Various high level concepts like enqueueing vs. interrupting speech and application specific user configurations are implemented in a device independent way, therefore freeing the application programmer from having to yet again reinvent the wheel. . This package contains dependencies on packages necessary for running Speech Dispatcher with Festival. Package: speech-dispatcher-flite Description-md5: 23d334dd71cbfd32125dff035b22e8c0 Description-en: Speech Dispatcher: Flite output module Speech Dispatcher provides a device independent layer for speech synthesis. It supports various software and hardware speech synthesizers as backends and provides a generic layer for synthesizing speech and playing back PCM data via those different backends to applications. . Various high level concepts like enqueueing vs. interrupting speech and application specific user configurations are implemented in a device independent way, therefore freeing the application programmer from having to yet again reinvent the wheel. . This package contains the output module for the flite speech synthesizer. Package: speech-dispatcher-kali Description-md5: ed862f91a9dfc6b45f556e27600025f3 Description-en: Speech Dispatcher: Kali output module Speech Dispatcher provides a device independent layer for speech synthesis. It supports various software and hardware speech synthesizers as backends and provides a generic layer for synthesizing speech and playing back PCM data via those different backends to applications. . Various high level concepts like enqueueing vs. interrupting speech and application specific user configurations are implemented in a device independent way, therefore freeing the application programmer from having to yet again reinvent the wheel. . This package contains the output module for the Kali speech synthesizer, which needs to be installed separately. Package: srptools Description-md5: 359f7ef78d69ef1e4b5d82e9e3e1f800 Description-en: Tools for Infiniband attached storage (SRP) In conjunction with the kernel ib_srp driver, srptools allows you to discover and use Infiniband attached storage devices which use the SCSI RDMA Protocol (SRP). Package: systemd-boot Description-md5: 5ba77b2b4bc86eae081746facb23c909 Description-en: simple UEFI boot manager - tools and services systemd-boot (short: sd-boot) is a simple UEFI boot manager. It provides a textual menu to select the entry to boot and an editor for the kernel command line. It supports systems with UEFI firmware only. . Installing systemd-boot will configure and install it in the ESP. . This package contains various tools and services to manage systems using systemd-boot. Package: systemd-boot-efi Description-md5: 1a28c616cc5e8559e958ebd9ceb453da Description-en: simple UEFI boot manager - EFI binaries systemd-boot (short: sd-boot) is a simple UEFI boot manager. It provides a textual menu to select the entry to boot and an editor for the kernel command line. It supports systems with UEFI firmware only. . This package contains the EFI binaries. Package: systemd-coredump Description-md5: 149b22bdf2366b7d731957d1b710e54f Description-en: tools for storing and retrieving coredumps This package provides systemd tools for storing and retrieving coredumps: * systemd-coredump * coredumpctl Package: systemd-homed Description-md5: e71da21f82a0832ca7fcbdc41f810503 Description-en: home area manager systemd-homed is a system service designed to manage home directories. This package includes the homed service, a PAM module to automatically mount home directories on user login, tools and documentation. Package: systemd-journal-remote Description-md5: 6bd80842a0c96acb169877c7a6a25ff6 Description-en: tools for sending and receiving remote journal logs This package provides tools for sending and receiving remote journal logs: * systemd-journal-remote * systemd-journal-upload * systemd-journal-gatewayd Package: systemd-repart Description-md5: 6033d83496890808246e2de4437b8865 Description-en: Provides the systemd-repart and systemd-sbsign utilities systemd-repart is a configuration-driven system partitioning tool. It follows the Discoverable Partitions Specification and provides support for encryption and dm-verity among other things. . systemd-sbsign is an EFI binary signing tool. . systemd-keyutil is a tool to manipulate X.509 certificates. Package: systemd-standalone-shutdown Description-md5: 9ee6184f37c7f9760d9be532ffe4e801 Description-en: standalone shutdown binary for use in exitrds Standalone shutdown binary with minimal dependencies. This package conflicts with the main systemd package and is meant for use in minimal exitrd images. Not intended for normal systems. Package: systemd-standalone-sysusers Description-md5: 59eb167fc8fbdb9ddb8918d80524736d Description-en: standalone sysusers binary for use in non-systemd systems Standalone sysusers binary with minimal dependencies. This package conflicts with the main systemd package and is meant for use in non-systemd systems. Package: systemd-standalone-tmpfiles Description-md5: e59a151e226689c494584c32d7f05a2c Description-en: standalone tmpfiles binary for use in non-systemd systems Standalone tmpfiles binary with minimal dependencies. This package conflicts with the main systemd package and is meant for use in non-systemd systems. Package: systemd-tests Description-md5: ece07db851f8a2e9731975ec11eedb8d Description-en: tests for systemd This package contains the test binaries. Those binaries are primarily used for autopkgtest and not meant to be installed on regular user systems. Package: systemd-ukify Description-md5: 39e5649d00705f557e265149601a82a3 Description-en: tool to build Unified Kernel Images This package provides ukify, a script that combines a kernel image, an initrd, with a command line, and possibly PCR measurements and other metadata, into a Unified Kernel Image (UKI). Package: systemd-userdbd Description-md5: 86b45a916ba4cb12ae812c532cd56008 Description-en: dynamic user/group manager systemd-userdbd is a system service that multiplexes user/group lookups to all local services that provide JSON user/group record definitions to the system. In addition it synthesizes JSON user/group records from classic UNIX/glibc NSS user/group records in order to provide full backwards compatibility. It may also pick up statically defined JSON user/group records from drop-in files. Package: telemetry-tempest-plugin Description-md5: e8b13bd2b5547104c96622df0cd20415 Description-en: OpenStack Integration Test Suite - Telemetry plugin Tempest is a set of integration tests to be run against a live Openstack cluster in order to make sure that all components are working as expected. Tempest will start and stop virtual machine in order to check that your cloud is working as expected. . This package contains the Telemetry plugin. Package: texlive Description-md5: 19838094eeee28c5a5392b813c6cec17 Description-en: TeX Live: A decent selection of the TeX Live packages The TeX Live software distribution offers a complete TeX system. It encompasses programs for typesetting, previewing and printing of TeX documents in many different languages, and a large collection of TeX macros and font libraries. . This metapackage provides a decent selection of the TeX Live packages which should suffice for the most common tasks. . The distribution also includes extensive general documentation about TeX, as well as the documentation accompanying the included software packages. Package: texlive-base Description-md5: 0c5a340259305f20ccacba4e0bd51845 Description-en: TeX Live: Essential programs and files These files are regarded as basic for any TeX system, covering plain TeX macros, Computer Modern fonts, and configuration for common drivers; no LaTeX. . This package includes the following CTAN packages: . amsfonts -- TeX fonts from the American Mathematical Society . bibtex -- Process bibliographies (bib files) for LaTeX or other formats . cm -- Computer Modern fonts . colorprofiles -- Collection of free ICC profiles . dvipdfmx -- An extended version of dvipdfm . dvips -- A DVI to PostScript driver . ec -- Computer modern fonts in T1 and TS1 encodings . enctex -- A TeX extension that translates input on its way into TeX . etex -- An extended version of TeX, from the NTS project . etex-pkg -- E-TeX support package . extractbb -- A reimplementation of extractbb, written in Lua . glyphlist -- Adobe Glyph List and TeX extensions . graphics-def -- Colour and graphics option files . hyph-utf8 -- Hyphenation patterns expressed in UTF-8 . hyphen-base -- core hyphenation support files . hyphenex -- US English hyphenation exceptions file . ifplatform -- Conditionals to test which platform is being used . iftex -- Am I running under pdfTeX, XeTeX or LuaTeX? . knuth-lib -- Core TeX and Metafont sources from Knuth . knuth-local -- Knuth's local information . kpathsea -- Path searching library for TeX-related files . lua-alt-getopt -- Process application arguments the same way as getopt_long . luahbtex -- LuaTeX with HarfBuzz library for glyph shaping . luatex -- The LuaTeX engine . makeindex -- Makeindex development sources . metafont -- A system for specifying fonts . mflogo -- LaTeX support for Metafont logo fonts . mfware -- Supporting tools for use with Metafont . modes -- A collection of Metafont mode_def's . pdftex -- A TeX extension for direct creation of PDF . plain -- The Plain TeX format . tex -- A sophisticated typesetting engine . tex-ini-files -- Model TeX format creation files . texlive-common -- TeX Live documentation (common elements) . texlive-en -- TeX Live manual (English) . texlive-msg-translations -- translations of the TeX Live installer and TeX Live Manager . texlive-scripts -- TeX Live infrastructure programs . texlive.infra -- basic TeX Live infrastructure . unicode-data -- Unicode data and loaders for TeX . xdvi -- A DVI previewer for the X Window System . texdoc -- Documentation access for TeX Live . texdoctk -- Easy access to package documentation Package: texlive-bibtex-extra Description-md5: e2b0f911633adb4d1b349e98b332c9f2 Description-en: TeX Live: BibTeX additional styles Additional BibTeX styles and bibliography data(bases), notably including BibLaTeX. . This package includes the following CTAN packages: . aaai-named -- BibTeX style for AAAI . aichej -- Bibliography style file for the AIChE Journal . ajl -- BibTeX style for AJL . amsrefs -- A LaTeX-based replacement for BibTeX . annotate -- A bibliography style with annotations . apacite -- Citation style following the rules of the APA . apalike-ejor -- A BibTeX style file for the European Journal of Operational Research . apalike2 -- Bibliography style that approaches APA requirements . archaeologie -- A citation-style which covers rules of the German Archaeological Institute . authordate -- Author/date style citation styles . beebe -- A collection of bibliographies . besjournals -- Bibliographies suitable for British Ecological Society journals . bestpapers -- A BibTeX package to produce lists of authors' best papers . bib2gls -- Command line application to convert .bib files to glossaries-extra.sty resource files . bib2qr -- Cite BibTeX entries with QR codes . bibarts -- "Arts"-style bibliographical information . bibcop -- Style checker for .bib files . biber-ms -- A BibTeX replacement for users of BibLaTeX (multiscript version) . bibexport -- Extract a BibTeX file based on a .aux file . bibhtml -- BibTeX support for HTML files . biblatex -- Sophisticated Bibliographies in LaTeX . biblatex-abnt -- BibLaTeX style for Brazil's ABNT rules . biblatex-ajc2020unofficial -- BibLaTeX style for the Australasian Journal of Combinatorics . biblatex-anonymous -- A tool to manage anonymous work with BibLaTeX . biblatex-apa -- BibLaTeX citation and reference style for APA . biblatex-apa6 -- BibLaTeX citation and reference style for APA 6th Edition . biblatex-archaeology -- A collection of BibLaTeX styles for German prehistory . biblatex-arthistory-bonn -- BibLaTeX citation style covers the citation and bibliography guidelines for art historians . biblatex-bath -- Harvard referencing style as recommended by the University of Bath Library . biblatex-bookinarticle -- Manage book edited in article . biblatex-bookinother -- Manage book edited in other entry type . biblatex-bwl -- BibLaTeX citations for FU Berlin . biblatex-caspervector -- A simple citation style for Chinese users . biblatex-chem -- A set of BibLaTeX implementations of chemistry-related bibliography styles . biblatex-chicago -- Chicago style files for BibLaTeX . biblatex-claves -- A tool to manage claves of old litterature with BibLaTeX . biblatex-cse -- Council of Science Editors (CSE) style file for BibLaTeX . biblatex-cv -- Create a CV from BibTeX files . biblatex-dw -- Humanities styles for BibLaTeX . biblatex-enc -- BibLaTeX style for the Ecole nationale des chartes (Paris) . biblatex-ext -- Extended BibLaTeX standard styles . biblatex-fiwi -- BibLaTeX styles for use in German humanities . biblatex-gb7714-2015 -- A BibLaTeX implementation of the GBT7714-2015 bibliography style for Chinese users . biblatex-german-legal -- Comprehensive citation style for German legal texts . biblatex-gost -- BibLaTeX support for GOST standard bibliographies . biblatex-historian -- A BibLaTeX style . biblatex-ieee -- IEEE style files for BibLaTeX . biblatex-ijsra -- BibLaTeX style for the International Journal of Student Research in Archaeology . biblatex-iso690 -- BibLaTeX style for ISO 690 standard . biblatex-jura2 -- Citation style for the German legal profession . biblatex-juradiss -- BibLaTeX stylefiles for German law theses . biblatex-license -- Add license data to the bibliography . biblatex-lncs -- BibLaTeX style for Springer Lecture Notes in Computer Science . biblatex-lni -- LNI style for BibLaTeX . biblatex-luh-ipw -- BibLaTeX styles for social sciences . biblatex-manuscripts-philology -- Manage classical manuscripts with BibLaTeX . biblatex-mla -- MLA style files for BibLaTeX . biblatex-morenames -- New names for standard BibLaTeX entry type . biblatex-ms -- Sophisticated Bibliographies in LaTeX (multiscript version) . biblatex-multiple-dm -- Load multiple datamodels in BibLaTeX . biblatex-musuos -- A BibLaTeX style for citations in musuos.cls . biblatex-nature -- BibLaTeX support for Nature . biblatex-nejm -- BibLaTeX style for the New England Journal of Medicine (NEJM) . biblatex-nottsclassic -- Citation style for the University of Nottingham . biblatex-opcit-booktitle -- Use op. cit. for the booktitle of a subentry . biblatex-oxref -- BibLaTeX styles inspired by the Oxford Guide to Style . biblatex-philosophy -- Styles for using BibLaTeX for work in philosophy . biblatex-phys -- A BibLaTeX implementation of the AIP and APS bibliography style . biblatex-publist -- BibLaTeX bibliography support for publication lists . biblatex-readbbl -- Read a .bbl file created by biber . biblatex-realauthor -- Indicate the real author of a work . biblatex-sbl -- Society of Biblical Literature (SBL) style files for BibLaTeX . biblatex-science -- BibLaTeX implementation of the Science bibliography style . biblatex-shortfields -- Use short forms of fields with BibLaTeX . biblatex-socialscienceshuberlin -- BibLaTeX-style for the social sciences at HU Berlin . biblatex-software -- BibLaTeX stylefiles for software products . biblatex-source-division -- References by "division" in classical sources . biblatex-spbasic -- A BibLaTeX style emulating Springer's old spbasic.bst . biblatex-subseries -- Manages subseries with BibLaTeX . biblatex-swiss-legal -- Bibliography and citation styles following Swiss legal practice . biblatex-trad -- "Traditional" BibTeX styles with BibLaTeX . biblatex-true-citepages-omit -- Correction of some limitation of the citepages=omit option of BibLaTeX styles . biblatex-unified -- BibLaTeX implementation of the unified stylesheet for linguistics journals . biblatex-vancouver -- Vancouver style for BibLaTeX . biblatex2bibitem -- Convert BibLaTeX-generated bibliography to bibitems . biblist -- Print a BibTeX database . bibtools -- Bib management tools . bibtopic -- Include multiple bibliographies in a document . bibtopicprefix -- Prefix references to bibliographies produced by bibtopic . bibunits -- Multiple bibliographies in one document . biolett-bst -- A BibTeX style for the journal "Biology Letters" . bookdb -- A BibTeX style file for cataloguing a home library . breakcites -- Ensure that multiple citations may break at line end . cell -- Bibliography style for Cell . chbibref -- Change the Bibliography/References title . chembst -- A collection of BibTeX files for chemistry journals . chicago -- A "Chicago" bibliography style . chicago-annote -- Chicago-based annotated BibTeX style . chicagoa -- "Chicago" bibliography style with annotations . chicagolinks -- "Chicago" bibliography style that allows annotations . chscite -- Bibliography style for Chalmers University of Technology . citation-style-language -- Bibliography formatting with Citation Style Language . citeall -- Cite all entries of a bbl created with BibLaTeX . citeref -- Add reference-page-list to bibliography-items . collref -- Collect blocks of references into a single reference . compactbib -- Multiple thebibliography environments . crossrefware -- Scripts for working with crossref.org . custom-bib -- Customised BibTeX styles . din1505 -- Bibliography styles for German texts . dk-bib -- Danish variants of standard BibTeX styles . doipubmed -- Special commands for use in bibliographies . ecobiblatex -- Global Ecology and Biogeography BibLaTeX styles for the Biber backend . econ-bst -- BibTeX style for economics papers . economic -- BibTeX support for submitting to Economics journals . fbs -- BibTeX style for Frontiers in Bioscience . figbib -- Organize figure databases with BibTeX . footbib -- Bibliographic references as footnotes . francais-bst -- Bibliographies conforming to French typographic standards . gbt7714 -- BibTeX implementation of China's bibliography style standard GB/T 7714-2015 . geschichtsfrkl -- BibLaTeX style for historians . harvard -- Harvard citation package for use with LaTeX 2e . harvmac -- Macros for scientific articles . hep-bibliography -- An acronym extension for glossaries . historische-zeitschrift -- BibLaTeX style for the journal 'Historische Zeitschrift' . icite -- Indices locorum citatorum . ietfbibs -- Generate BibTeX entries for various IETF index files . ijqc -- BibTeX style file for the Intl. J. Quantum Chem . inlinebib -- Citations in footnotes . iopart-num -- Numeric citation style for IOP journals . is-bst -- Extended versions of standard BibTeX styles . jbact -- BibTeX style for biology journals . jmb -- BibTeX style for the Journal of Theoretical Biology . jneurosci -- BibTeX style for the Journal of Neuroscience . jurabib -- Extended BibTeX citation support for the humanities and legal texts . ksfh_nat -- BibTeX style for KSFH Munich . listbib -- Lists contents of BibTeX files . logreq -- Support for automation of the LaTeX workflow . ltb2bib -- Converts amsrefs' .ltb bibliographical databases to BibTeX format . luabibentry -- Repeat BibTeX entries in a LuaLaTeX document body . margbib -- Display bibitem tags in the margins . multibib -- Multiple bibliographies within one document . multibibliography -- Multiple versions of a bibliography, with different sort orders . munich -- An alternative authordate bibliography style . nar -- BibTeX style for Nucleic Acid Research . newcastle-bst -- A BibTeX style to format reference lists in the Harvard at Newcastle style . nmbib -- Multiple versions of a bibliography, with different sort orders . notes2bib -- Integrating notes into the bibliography . notex-bst -- A BibTeX style that outputs HTML . oscola -- BibLaTeX style for the Oxford Standard for the Citation of Legal Authorities . perception -- BibTeX style for the journal Perception . plainyr -- Plain bibliography style, sorted by year first . pnas2009 -- BibTeX style for PNAS (newer version) . rsc -- BibTeX style for use with RSC journals . showtags -- Print the tags of bibliography entries . sort-by-letters -- Bibliography styles for alphabetic sorting . splitbib -- Split and reorder your bibliography . turabian-formatting -- Formatting based on Turabian's Manual . uni-wtal-ger -- Citation style for literary studies at the University of Wuppertal . uni-wtal-lin -- Citation style for linguistic studies at the University of Wuppertal . urlbst -- Web support for BibTeX . usebib -- A simple bibliography processor . vak -- BibTeX style for Russian Theses, books, etc . windycity -- A Chicago style for BibLaTeX . xcite -- Use citation keys from a different document . zootaxa-bst -- A BibTeX style for the journal Zootaxa Package: texlive-extra-utils Description-md5: 452c058a2defd638be42174e329359d3 Description-en: TeX Live: TeX auxiliary programs Myriad additional TeX-related support programs. Includes programs and macros for DVI file manipulation, literate programming, patgen, and plenty more. . This package includes the following CTAN packages: . a2ping -- Advanced PS, PDF, EPS converter . adhocfilelist -- '\listfiles' entries from the command line . arara -- Automation of LaTeX compilation . bibtex8 -- BibTeX variant supporting 8-bit encodings . bibtexu -- BibTeX variant supporting Unicode (UTF-8), via ICU . bundledoc -- Bundle together all the files needed to build a LaTeX document . checklistings -- Pass verbatim contents through a compiler and reincorporate the resulting output . chklref -- Check for problems with labels in LaTeX . clojure-pamphlet -- A simple literate programming tool based on clojure's pamphlet system . cluttex -- An automation tool for running LaTeX . ctan-o-mat -- Upload or validate a package for CTAN . ctan_chk -- CTAN guidelines verifier and corrector for uploading projects . ctanbib -- Export CTAN entries to bib format . ctanify -- Prepare a package for upload to CTAN . ctanupload -- Support for users uploading to CTAN . ctie -- C version of tie (merging Web change files) . cweb -- CWEB for ANSI-C/C++ compilers . de-macro -- Expand private macros in a document . detex -- Strip TeX from a source file . digestif -- Editor plugin for LaTeX, ConTeXt etc. . dtl -- Tools to dis-assemble and re-assemble DVI files . dtxgen -- Creates a template for a self-extracting .dtx file . dvi2tty -- Produce ASCII from DVI . dviasm -- A utility for editing DVI files . dvicopy -- Copy DVI files, flattening VFs . dviinfox -- Perl script to print DVI meta information . dviljk -- DVI to Laserjet output . dviout-util -- . dvipos -- support DVI pos: specials used by ConTeXt DVI output . dvisvgm -- Convert DVI, EPS, and PDF files to Scalable Vector Graphics format (SVG) . easydtx -- A simplified DTX format . expltools -- Development tools for expl3 programmers . findhyph -- Find hyphenated words in a document . git-latexdiff -- Call latexdiff on two Git revisions of a file . gsftopk -- Convert "Ghostscript fonts" to PK files . hook-pre-commit-pkg -- Pre-commit git hook for LaTeX package developpers . installfont -- A bash script for installing a LaTeX font family . ketcindy -- macros for graphic generation and Cinderella plugin . l3sys-query -- System queries for LaTeX using Lua . latex-git-log -- Typeset git log information . latex-papersize -- Calculate LaTeX settings for any font and paper size . latex2man -- Translate LaTeX-based manual pages into Unix man format . latex2nemeth -- Convert LaTeX source to Braille with math in Nemeth . latexfileversion -- Prints the version and date of a LaTeX class or style file . latexindent -- Indent a LaTeX document, highlighting the programming structure . latexpand -- Expand \input and \include in a LaTeX document . light-latex-make -- llmk: A build tool for LaTeX documents . listings-ext -- Automated input of source . ltxfileinfo -- Print version information for a LaTeX file . ltximg -- Extract LaTeX environments into separate image files . make4ht -- A build system for tex4ht . match_parens -- Find mismatches of parentheses, braces, (angle) brackets, in texts . mflua -- configuration and base files for MFLua . mkjobtexmf -- Generate a texmf tree for a particular job . optexcount -- Python script for counting words in OpTeX documents . patgen -- Generate hyphenation patterns . pdfbook2 -- Create booklets from PDF files . pdfcrop -- Crop PDF graphics . pdfjam -- Shell scripts interfacing to pdfpages . pdflatexpicscale -- Support software for downscaling graphics to be included by pdfLaTeX . pdftex-quiet -- A bash wrapper for pdfTeX limiting its output to relevant errors . pdftosrc -- Extract source file or stream from PDF file . pdfxup -- Create n-up PDF pages with minimal margins . pfarrei -- LaTeX support of pastors' and priests' work . pkfix -- Replace pk fonts in PostScript with Type 1 fonts . pkfix-helper -- Make PostScript files accessible to pkfix . ppmcheckpdf -- Convert PDF to PNG and compare PNG files after l3build . pythontex -- Run Python from within a document, typesetting the results . runtexshebang -- A Lua script running LaTeX document files with TeX-style shebang . seetexk -- Utilities for manipulating DVI files . spix -- Yet another TeX compilation tool: simple, human readable, no option, no magic . sqltex -- An SQL Preprocessor for LaTeX . srcredact -- A tool for redacting sources . sty2dtx -- Create a .dtx file from a .sty file . synctex -- engine-level feature synchronizing output and source . tex4ebook -- Converter from LaTeX to ebook formats . texaccents -- Convert composite accented characters to Unicode . texblend -- Compile segments of LaTeX documents . texcount -- Count words in a LaTeX document . texdef -- Display the definitions of TeX commands . texdiff -- Compare documents and produce tagged merge . texdirflatten -- Collect files related to a LaTeX job in a single directory . texfot -- Filter clutter from the output of a TeX run . texlive-scripts-extra -- TeX Live scripts . texliveonfly -- On-the-fly download of missing TeX live packages . texloganalyser -- Analyse TeX logs . texlogfilter -- Filter LaTeX engines output or log file . texlogsieve -- Filter and summarize LaTeX log files . texosquery -- Cross-platform Java application to query OS information . texplate -- A tool for creating document structures based on templates . texware -- Utility programs for use with TeX . tie -- Allow multiple web change files . tpic2pdftex -- Use tpic commands in pdfTeX . typeoutfileinfo -- Display class/package/file information . upmendex -- Multilingual index processor . web -- The original literate programming system . xindex -- Unicode-compatible index generation . xpdfopen -- Commands to control PDF readers, under X11 Package: texlive-font-utils Description-md5: 5ddfd05eb306279b0ea20210bf33b2d8 Description-en: TeX Live: Graphics and font utilities Programs for conversion between font formats, testing fonts, virtual fonts, .gf and .pk manipulation, mft, fontinst, etc. Manipulating OpenType, TrueType, Type 1,and for manipulation of PostScript and other image formats. . This package includes the following CTAN packages: . accfonts -- Utilities to derive new fonts from existing ones . afm2pl -- AFM font metrics to TeX pl converter. . albatross -- Find fonts that contain a given glyph . dosepsbin -- Deal with DOS binary EPS files . dvipsconfig -- Collection of dvips PostScript headers . epstopdf -- Convert EPS to PDF using Ghostscript . fontinst -- Help with installing fonts for TeX and LaTeX . fontools -- Tools to simplify using fonts (especially TT/OTF ones) . fontware -- Tools for virtual font metrics . luafindfont -- Search fonts in the LuaTeX font database . metatype1 -- Generate Type 1 fonts from MetaPost . mf2pt1 -- Convert stylized Metafont to PostScript Type 1 . ps2eps -- Produce Encapsulated PostScript from PostScript . ps2pk -- Generate a PK font from an Adobe Type 1 font . ttfutils -- convert TrueType to TFM and PK fonts Package: texlive-fonts-extra Description-md5: 01e940bc94aab9c5d5b7162ecaed5178 Description-en: TeX Live: Additional fonts This package includes the following CTAN packages: . aboensis -- A late medieval OpenType cursive font . academicons -- Font containing high quality icons of online academic profiles . accanthis -- Accanthis fonts, with LaTeX support . adforn -- OrnementsADF font with TeX/LaTeX support . adfsymbols -- SymbolsADF with TeX/LaTeX support . aesupp -- Special support for the ae character . alegreya -- Alegreya fonts with LaTeX support . alfaslabone -- The Alfa Slab One font face with support for LaTeX and pdfLaTeX . algolrevived -- A revival of Frutiger's Algol alphabet . allrunes -- Fonts and LaTeX package for almost all runes . almendra -- Almendra fonts with LaTeX support . almfixed -- Arabic-Latin Modern Fixed extends TeX-Gyre Latin Modern Mono 10 Regular to full Arabic Unicode support . andika -- andika fonts with support for all LaTeX engines . anonymouspro -- Use AnonymousPro fonts with LaTeX . antiqua -- URW Antiqua condensed font, for use with TeX . antt -- Antykwa Torunska: a Type 1 family of a Polish traditional type . archaic -- A collection of archaic fonts . archivo -- The Archivo font face with support for LaTeX and pdfLaTeX . arev -- Fonts and LaTeX support files for Arev Sans . arimo -- Arimo sans serif fonts with LaTeX support . arsenal -- Open Type font by Andrij Shevchenko . arvo -- The Arvo font face with support for LaTeX and pdfLaTeX . asana-math -- A font to typeset maths in Xe(La)TeX and Lua(La)TeX . asapsym -- Using the free ASAP Symbol font with LaTeX and Plain TeX . ascii-font -- Use the ASCII "font" in LaTeX . aspectratio -- Capital A and capital R ligature for Aspect Ratio . astro -- Astronomical (planetary) symbols . atkinson -- Support for the Atkinson Hyperlegible family of fonts . augie -- Calligraphic font for typesetting handwriting . auncial-new -- Artificial Uncial font and LaTeX support macros . aurical -- Calligraphic fonts for use with LaTeX in T1 encoding . b1encoding -- LaTeX encoding tools for Bookhands fonts . barcodes -- Fonts for making barcodes . baskervaldadf -- Baskervald ADF fonts collection with TeX/LaTeX support . baskervaldx -- Extension and modification of BaskervaldADF with LaTeX support . baskervillef -- Fry's Baskerville look-alike, with math support . bbding -- A symbol (dingbat) font and LaTeX macros for its use . bbm -- "Blackboard-style" cm fonts . bbm-macros -- LaTeX support for "blackboard-style" cm fonts . bbold -- Sans serif blackboard bold . bbold-type1 -- An Adobe Type 1 format version of the bbold font . bboldx -- Extension of the bbold package with a Blackboard Bold alphabet . belleek -- Free replacement for basic MathTime fonts . bera -- Bera fonts . berenisadf -- Berenis ADF fonts and TeX/LaTeX support . beuron -- The script of the Beuronese art school . bguq -- Improved quantifier stroke for Begriffsschrift packages . bitter -- The Bitter family of fonts with LaTeX support . blacklettert1 -- T1-encoded versions of Haralambous old German fonts . boisik -- A font inspired by Baskerville design . bonum-otf -- Support for the OpenType font Bonum . bookhands -- A collection of book-hand fonts . boondox -- Mathematical alphabets derived from the STIX fonts . braille -- Support for braille . brushscr -- A handwriting script font . cabin -- A humanist Sans Serif font, with LaTeX support . caladea -- Support for the Caladea family of fonts . calligra -- Calligraphic font . calligra-type1 -- Type 1 version of Calligra . cantarell -- LaTeX support for the Cantarell font family . carlito -- Support for Carlito sans-serif fonts . carolmin-ps -- Adobe Type 1 format of Carolingian Minuscule fonts . cascadia-code -- The Cascadia Code font with support for LaTeX and pdfLaTeX . cascadiamono-otf -- Fontspec support for the OpenType font CascadiaMono . ccicons -- LaTeX support for Creative Commons icons . cfr-initials -- LaTeX packages for use of initials . cfr-lm -- Enhanced support for the Latin Modern fonts . charissil -- CharisSIL fonts with support for all LaTeX engines . cherokee -- A font for the Cherokee script . chivo -- Using the free Chivo fonts with LaTeX . cinzel -- LaTeX support for Cinzel and Cinzel Decorative fonts . clara -- A serif font family . clearsans -- Clear Sans fonts with LaTeX support . cm-lgc -- Type 1 CM-based fonts for Latin, Greek and Cyrillic . cm-mf-extra-bold -- Extra Metafont files for CM . cm-unicode -- Computer Modern Unicode font family . cmathbb -- Computer modern mathematical blackboard bold font . cmbright -- Computer Modern Bright fonts . cmexb -- cmexb10 metrics and Type 1 . cmll -- Symbols for linear logic . cmpica -- A Computer Modern Pica variant . cmsrb -- Computer Modern for Serbian and Macedonian . cmtiup -- Upright punctuation with CM italic . cmupint -- Upright integral symbols for Computer Modern . cochineal -- Cochineal fonts with LaTeX support . coelacanth -- Coelacanth fonts with LaTeX support . comfortaa -- Sans serif font, with LaTeX support . comicneue -- Use Comic Neue with TeX(-alike) systems . concmath-fonts -- Concrete mathematics fonts . concmath-otf -- Concrete based OpenType Math font . cookingsymbols -- Symbols for recipes . cooperhewitt -- LaTeX, pdfLaTeX, XeLaTeX and LuaLaTeX support for the Cooper Hewitt family of sans serif fonts . cormorantgaramond -- Cormorant Garamond family of fonts . countriesofeurope -- A font with the images of the countries of Europe . courier-scaled -- Provides a scaled Courier font . courierten -- Courier 10 Pitch BT with LaTeX support . crimson -- Crimson fonts with LaTeX support . crimsonpro -- CrimsonPro fonts with LaTeX support . cryst -- Font for graphical symbols used in crystallography . cuprum -- Cuprum font family support for LaTeX . cyklop -- The Cyclop typeface . cyrillic-modern -- Slightly modified computer modern fonts with Cyrillics . dancers -- Font for Conan Doyle's "The Dancing Men" . dantelogo -- A font for DANTE's logo . dejavu -- LaTeX support for the DejaVu fonts . dejavu-otf -- Support for the ttf and otf DejaVu fonts . dice -- A font for die faces . dictsym -- DictSym font and macro package . dingbat -- Two dingbat symbol fonts . domitian -- Drop-in replacement for Palatino . doublestroke -- Typeset mathematical double stroke symbols . doulossil -- A font for typesetting the International Phonetic Alphabet (IPA) . dozenal -- Typeset documents using base twelve numbering (also called "dozenal") . drm -- A complete family of fonts written in Metafont . droid -- LaTeX support for the Droid font families . dsserif -- A double-struck serifed font for mathematical use . duerer -- Computer Duerer fonts . duerer-latex -- LaTeX support for the Duerer fonts . dutchcal -- A reworking of ESSTIX13, adding a bold version . ean -- Macros for making EAN barcodes . ebgaramond -- LaTeX support for EBGaramond fonts . ebgaramond-maths -- Limited LaTeX support for ebgaramond in maths . ecc -- Sources for the European Concrete fonts . eco -- Oldstyle numerals using EC fonts . eczar -- A font family supporting Devanagari and Latin script . eiad -- Traditional style Irish fonts . eiad-ltx -- LaTeX support for the eiad font . ektype-tanka -- Devanagari fonts by EkType . electrumadf -- Electrum ADF fonts collection . elvish -- Fonts for typesetting Tolkien Elvish scripts . epigrafica -- A Greek and Latin font . epsdice -- A scalable dice "font" . erewhon -- Font package derived from Heuristica and Utopia . erewhon-math -- Utopia based OpenType Math font . esrelation -- Provides a symbol set for describing relations between ordered pairs . esstix -- PostScript versions of the ESSTIX, with macro support . esvect -- Vector arrows . etbb -- An expansion of Edward Tufte's ET-Bembo family . euler-math -- OpenType version of Hermann Zapf's Euler maths font . eulervm -- Euler virtual math fonts . euxm -- extended Euler by DEK . fbb -- A free Bembo-like font . fdsymbol -- A maths symbol font . fetamont -- Extended version of Knuth's logo typeface . feyn -- A font for in-text Feynman diagrams . fge -- A font for Frege's Grundgesetze der Arithmetik . fira -- Fira fonts with LaTeX support . firamath -- Fira sans serif font with Unicode math support . firamath-otf -- Use OpenType math font Fira Math . foekfont -- The title font of the Mads Fok magazine . fonetika -- Support for the Danish "Dania" phonetic system . fontawesome -- Font containing web-related icons . fontawesome5 -- Font Awesome 5 with LaTeX support . fontmfizz -- Font Mfizz icons for use in LaTeX . fonts-churchslavonic -- Fonts for typesetting in Church Slavonic language . fontscripts -- Font encodings, metrics and Lua script fragments for font creation . forum -- Forum fonts with LaTeX support . fourier -- Using Utopia fonts in LaTeX documents . fouriernc -- Use New Century Schoolbook text with Fourier maths fonts . frcursive -- French cursive hand fonts . frederika2016 -- An OpenType Greek calligraphy font . frimurer -- Access to the 'frimurer' cipher for use with LaTeX . garamond-libre -- The Garamond Libre font face . garamond-math -- An OTF math font matching EB Garamond . gelasio -- LaTeX support for the Gelasio family of fonts . gelasiomath -- Math and small cap additions to Gelasio fonts . genealogy -- A compilation genealogy font . gentium-otf -- Support for the TrueType font GentiumPlus . gentium-sil -- A complete Greek font with Latin and Cyrillic, too . gfsartemisia -- A modern Greek font design . gfsbodoni -- A Greek and Latin font based on Bodoni . gfscomplutum -- A Greek font with a long history . gfsdidot -- A Greek font based on Didot's work . gfsdidotclassic -- The classic version of GFSDidot . gfsneohellenic -- A font in the Neo-Hellenic style . gfsneohellenicmath -- A math font in the Neo-Hellenic style . gfssolomos -- A Greek-alphabet font . gillcm -- Alternative unslanted italic Computer Modern fonts . gillius -- Gillius fonts with LaTeX support . gnu-freefont -- A Unicode font, with rather wide coverage . gofonts -- GoSans and GoMono fonts with LaTeX support . gothic -- A collection of old German-style fonts . greenpoint -- The Green Point logo . grotesq -- URW Grotesq font pack for LaTeX . gudea -- The Gudea font face with support for LaTeX and pdfLaTeX . hacm -- Font support for the Arka language . hamnosys -- A font for sign languages . hands -- Pointing hand font . hep-font -- Latin modern extended by computer modern . hep-math-font -- Extended Greek and sans-serif math . heros-otf -- Using the OpenType fonts TeX Gyre Heros> . heuristica -- Fonts extending Utopia, with LaTeX support files . hfbright -- The hfbright fonts . hfoldsty -- Old style numerals with EC fonts . hindmadurai -- The HindMadurai font face with support for LaTeX and pdfLaTeX . ibarra -- LaTeX support for the Ibarra Real Nova family of fonts . ifsym -- A collection of symbols . imfellenglish -- IM Fell English fonts with LaTeX support . inconsolata -- A monospaced font, with support files for use with TeX . inconsolata-nerd-font -- Inconsolata Nerd Font with support for XeLaTeX or LuaLaTeX . initials -- Adobe Type 1 decorative initial fonts . inriafonts -- Inria fonts with LaTeX support . inter -- The inter font face with support for LaTeX, XeLaTeX, and LuaLaTeX . ipaex-type1 -- IPAex fonts converted to Type-1 format Unicode subfonts . iwona -- A two-element sans-serif font . jablantile -- Metafont version of tiles in the style of Slavik Jablan . jamtimes -- Expanded Times Roman fonts . jetbrainsmono-otf -- Package (or only fontspec config files) support for the OpenType font JetBrains . josefin -- Josefin fonts with LaTeX support . junicode -- A TrueType and OpenType font family for mediaevalists . junicodevf -- A TrueType variable font family for mediaevalists . kixfont -- A font for KIX codes . kpfonts -- A complete set of fonts for text and mathematics . kpfonts-otf -- OTF version of the Kp-fonts . kurier -- A two-element sans-serif typeface . lato -- Lato font family and LaTeX support . lete-sans-math -- Lato-based OpenType Math font for LuaTeX and XeTeX . lexend -- The Lexend fonts for XeLaTeX and LuaLaTeX through fontspec . lfb -- A Greek font with normal and bold variants . libertine -- Use of Linux Libertine and Biolinum fonts with LaTeX . libertinegc -- Libertine add-on to support Greek and Cyrillic . libertinus -- Wrapper to use the correct libertinus package according to the used TeX engine . libertinus-fonts -- The Libertinus font family . libertinus-otf -- Support for Libertinus OpenType . libertinus-type1 -- Support for using Libertinus fonts with LaTeX/pdfLaTeX . libertinust1math -- A Type 1 font and LaTeX support for Libertinus Math . librebaskerville -- The Libre Baskerville family of fonts with LaTeX support . librebodoni -- Libre Bodoni fonts with LaTeX support . librecaslon -- Libre Caslon fonts, with LaTeX support . librefranklin -- LaTeX support for the Libre-Franklin family of fonts . libris -- Libris ADF fonts, with LaTeX support . lineara -- Linear A script fonts . linguisticspro -- LinguisticsPro fonts with LaTeX support . lobster2 -- Lobster Two fonts, with support for all LaTeX engines . logix -- Supplement to the Unicode math symbols . luwiantype -- Typesetting package for Hieroglyphic Luwian . lxfonts -- Set of slide fonts based on CM . ly1 -- Support for LY1 LaTeX encoding . magra -- The Magra font face with support for LaTeX and pdfLaTeX . marcellus -- Marcellus fonts with LaTeX support . mathabx -- Three series of mathematical symbols . mathabx-type1 -- Outline version of the mathabx fonts . mathdesign -- Mathematical fonts to fit with particular text fonts . mdputu -- Upright digits in Adobe Utopia Italic . mdsymbol -- Symbol fonts to match Adobe Myriad Pro . merriweather -- Merriweather and MerriweatherSans fonts, with LaTeX support . metsymb -- The package provides dedicated TeX commands to generate (vectorial) meteorological symbols . mfb-oldstyle -- MFB Oldstyle serif fonts . miama -- The Miama Nueva handwriting font with LaTeX support . mintspirit -- LaTeX support for MintSpirit font families . missaali -- A late medieval OpenType textura font . mlmodern -- A blacker Type 1 version of Computer Modern, with multilingual support . mnsymbol -- Mathematical symbol font for Adobe MinionPro . montserrat -- Montserrat sans serif, otf and pfb, with LaTeX support files . mpfonts -- Computer Modern Type 3 fonts converted using MetaPost . mweights -- Support for multiple-weight font packages . newcomputermodern -- Computer Modern fonts including matching non-latin alphabets . newpx -- Alternative uses of the PX fonts, with improved metrics . newtx -- Alternative uses of the TX fonts, with improved metrics . newtxsf -- Sans-math fonts for use with newtx . newtxtt -- Enhancement of typewriter fonts from newtx . niceframe-type1 -- Type 1 versions of the fonts recommended in niceframe . nimbus15 -- Support files for Nimbus 2015 Core fonts . nkarta -- A "new" version of the karta cartographic fonts . noto -- Support for Noto fonts . noto-emoji -- Noto Emoji fonts . notomath -- Math support for Noto fonts . nunito -- The Nunito font face with support for LaTeX and pdfLaTeX . obnov -- Obyknovennaya Novaya fonts . ocherokee -- LaTeX Support for the Cherokee language . ocr-b -- Fonts for OCR-B . ocr-b-outline -- OCR-B fonts in Type 1 and OpenType . ogham -- Fonts for typesetting Ogham script . oinuit -- LaTeX Support for the Inuktitut Language . old-arrows -- Computer Modern old-style arrows with smaller arrowheads . oldlatin -- Compute Modern-like font with long s . oldstandard -- OldStandard fonts with LaTeX support . opensans -- The Open Sans font family, and LaTeX support . orkhun -- A font for orkhun script . oswald -- The Oswald family of fonts with support for LaTeX and pdfLaTeX . overlock -- Overlock sans fonts with LaTeX support . pacioli -- Fonts designed by Fra Luca de Pacioli in 1497 . pagella-otf -- Using the OpenType fonts TeX Gyre Pagella . paratype -- LaTeX support for free fonts by ParaType . phaistos -- Disk of Phaistos font . phonetic -- Metafont Phonetic fonts, based on Computer Modern . pigpen -- A font for the pigpen (or masonic) cipher . playfair -- Playfair Display fonts with LaTeX support . plex -- Support for IBM Plex fonts . plex-otf -- Support for the OpenType font IBM Plex . plimsoll -- Fonts with the Plimsoll symbol and LaTeX support . poiretone -- PoiretOne family of fonts with LaTeX support . poltawski -- Antykwa Poltawskiego Family of Fonts . prodint -- A font that provides the product integral symbol . punk -- Donald Knuth's punk font . punk-latex -- LaTeX support for punk fonts . punknova -- OpenType version of Knuth's Punk font . pxtxalfa -- Virtual maths alphabets based on pxfonts and txfonts . qualitype -- The QualiType font collection . quattrocento -- Quattrocento and Quattrocento Sans fonts with LaTeX support . raleway -- Use Raleway with TeX(-alike) systems . recycle -- A font providing the "recyclable" logo . rit-fonts -- Malayalam fonts by Rachana Institute of Typography (RIT) . roboto -- Support for the Roboto family of fonts . romandeadf -- Romande ADF fonts and LaTeX support . rosario -- Using the free Rosario fonts with LaTeX . rsfso -- A mathematical calligraphic font based on rsfs . ruscap -- A Metafont for rustic capitals . sansmathaccent -- Correct placement of accents in sans-serif maths . sansmathfonts -- Extended Computer Modern sans serif fonts . sauter -- Wide range of design sizes for CM fonts . sauterfonts -- Use Sauter's fonts in LaTeX . schola-otf -- Using the OpenType fonts TeX Gyre schola . scholax -- Extension of TeXGyreSchola (New Century Schoolbook) with math support . schulschriften -- German "school scripts" from Suetterlin to the present day . semaphor -- Semaphore alphabet font . shobhika -- An OpenType Devanagari font designed for scholars . simpleicons -- Simple Icons for LaTeX . skull -- A font to draw a skull . sourcecodepro -- Use SourceCodePro with TeX(-alike) systems . sourcesanspro -- Use SourceSansPro with TeX(-alike) systems . sourceserifpro -- Use SourceSerifPro with TeX(-alike) systems . spectral -- Spectral fonts with LaTeX support . srbtiks -- Font STIX2 for Serbian and Macedonian . starfont -- The StarFont Sans astrological font . staves -- Typeset Icelandic staves and runic letters . step -- A free Times-like font . stepgreek -- A free Times/Elsevier-style Greek font . stickstoo -- A reworking of STIX2 . stix -- OpenType Unicode maths fonts . stix2-otf -- OpenType Unicode text and maths fonts . stix2-type1 -- Type1 versions of the STIX Two OpenType fonts . superiors -- Attach superior figures to a font family . svrsymbols -- A font with symbols for use in physics texts . symbats3 -- Macros to use the Symbats3 dingbats fonts . tapir -- A simple geometrical font . tempora -- Greek and Cyrillic to accompany Times . tengwarscript -- LaTeX support for using Tengwar fonts . termes-otf -- Using the OpenType fonts TeX Gyre Termes . tfrupee -- A font offering the new (Indian) Rupee symbol . theanodidot -- TheanoDidot fonts with LaTeX support . theanomodern -- Theano Modern fonts with LaTeX support . theanooldstyle -- Theano OldStyle fonts with LaTeX support . tinos -- Tinos fonts with LaTeX support . tpslifonts -- A LaTeX package for configuring presentation fonts . trajan -- Fonts from the Trajan column in Rome . twemoji-colr -- Twemoji font in COLR/CPAL layered format . txfontsb -- Extensions to txfonts, using GNU Freefont . txuprcal -- Upright calligraphic font based on TX calligraphic . typicons -- Font containing a set of web-related icons . umtypewriter -- Fonts to typeset with the xgreek package . universa -- Herbert Bayer's 'universal' font . universalis -- Universalis font, with support . uppunctlm -- Always keep upright shape for some punctuation marks and Arabic numerals . urwchancal -- Use URW's clone of Zapf Chancery as a maths alphabet . venturisadf -- Venturis ADF fonts collection . wsuipa -- International Phonetic Alphabet fonts . xcharter -- Extension of Bitstream Charter fonts . xcharter-math -- XCharter-based OpenType Math font for LuaTeX and XeTeX . xits -- A Scientific Times-like font with support for mathematical typesetting . yfonts -- Support for old German fonts . yfonts-otf -- OpenType version of the Old German fonts designed by Yannis Haralambous . yfonts-t1 -- Old German-style fonts, in Adobe type 1 format . yinit-otf -- OTF conversion of Yannis Haralambous' Old German decorative initials . ysabeau -- Ysabeau fonts with LaTeX support for traditional TeX engines . zlmtt -- Use Latin Modern Typewriter fonts Package: texlive-fonts-extra-doc Description-md5: f9855b4595b57fc990fb2a0bd72492ef Description-en: TeX Live: Documentation files for texlive-fonts-extra This package provides the documentation for texlive-fonts-extra Package: texlive-fonts-extra-links Description-md5: 4c78df86ff84c11dcf4b3ce1d8d27a57 Description-en: TeX Live: Setup of fonts for TeX Live and search via kpathsea This package ships links to all the fonts that are originally in TeX Live, collection fonts-extra, but are not shipped in the Debian package texlive-fonts-extra due to availability in separate packages. . For documents searching these fonts by file name instead of using fontconfig lookups, the font files need to be linked into the TEXMF tree of TeX Live. This package ships these links and depends on all the font packages required. Package: texlive-fonts-recommended Description-md5: 4119b02a658c1a782d7038afd9bbb0a8 Description-en: TeX Live: Recommended fonts Recommended fonts, including the base 35 PostScript fonts, Latin Modern, TeX Gyre, and T1 and other encoding support for Computer Modern, in outline form. . This package includes the following CTAN packages: . avantgar -- URW 'Base 35' font pack for LaTeX . bookman -- URW 'Base 35' font pack for LaTeX . charter -- Charter fonts . cmextra -- Knuth's local information . courier -- URW 'Base 35' font pack for LaTeX . euro -- Provide Euro values for national currency amounts . euro-ce -- Euro and CE sign font . eurosym -- Metafont and macros for Euro sign . fpl -- SC and OsF fonts for URW Palladio L . helvetic -- URW 'Base 35' font pack for LaTeX . manfnt-font -- Knuth's "manual" fonts . marvosym -- Martin Vogel's Symbols (marvosym) font . mathpazo -- Fonts to typeset mathematics to match Palatino . mflogo-font -- Metafont logo font . ncntrsbk -- URW 'Base 35' font pack for LaTeX . palatino -- URW 'Base 35' font pack for LaTeX . pxfonts -- Palatino-like fonts in support of mathematics . rsfs -- Ralph Smith's Formal Script font . symbol -- URW 'Base 35' font pack for LaTeX . times -- URW 'Base 35' font pack for LaTeX . txfonts -- Times-like fonts in support of mathematics . utopia -- Adobe Utopia fonts . wasy -- The wasy fonts (Waldi symbol fonts) . wasy-type1 -- Type 1 versions of wasy fonts . wasysym -- LaTeX support for the wasy fonts . zapfchan -- URW 'Base 35' font pack for LaTeX . zapfding -- URW 'Base 35' font pack for LaTeX Package: texlive-fonts-recommended-doc Description-md5: fedfa7c022515f5060512ccde356a7e7 Description-en: TeX Live: Documentation files for texlive-fonts-recommended This package provides the documentation for texlive-fonts-recommended Package: texlive-formats-extra Description-md5: 4b40294c5fc78975aa57d7f4ed3c9271 Description-en: TeX Live: Additional formats Collected TeX `formats', i.e., large-scale macro packages designed to be dumped into .fmt files -- excluding the most common ones, such as latex and context, which have their own package(s). It also includes the Aleph engine and related Omega formats and packages, and the HiTeX engine and related. . This package includes the following CTAN packages: . aleph -- Extended TeX . antomega -- Alternative language support for Omega/Lambda . eplain -- Extended plain TeX macros . hitex -- A TeX extension writing HINT output for on-screen reading . jadetex -- Macros supporting Jade DSSSL output . lambda -- LaTeX format based on the Omega engine. . lollipop -- TeX made easy . mltex -- The MLTeX system . mxedruli -- A pair of fonts for different Georgian alphabets . omega -- A wide-character-set extension of TeX . omegaware -- A wide-character-set extension of TeX . otibet -- support for Tibetan using Omega . passivetex -- Support package for XML/SGML typesetting . psizzl -- A TeX format for physics papers . startex -- An XML-inspired format for student use . texsis -- Plain TeX macros for Physicists . xmltex -- Support for parsing XML documents Package: texlive-full Description-md5: bac7667046dc6d4bce324b8c7ee00074 Description-en: TeX Live: metapackage pulling in all components of TeX Live The TeX Live software distribution offers a complete TeX system. It encompasses programs for typesetting, previewing and printing of TeX documents in many different languages, and a large collection of TeX macros and font libraries. . The distribution also includes extensive general documentation about TeX, as well as the documentation accompanying the included software packages. Package: texlive-games Description-md5: 76ab6a38fec21ec5e987a2e56e94f7b4 Description-en: TeX Live: Games typesetting Setups for typesetting various games, including chess. . This package includes the following CTAN packages: . bartel-chess-fonts -- A set of fonts supporting chess diagrams . chess -- Fonts for typesetting chess boards . chess-problem-diagrams -- A package for typesetting chess problem diagrams . chessboard -- Print chess boards . chessfss -- A package to handle chess fonts . chinesechess -- Typeset Chinese chess with l3draw . crossword -- Typeset crossword puzzles . crosswrd -- Macros for typesetting crossword puzzles . customdice -- Simple commands for drawing customisable dice . egameps -- LaTeX package for typesetting extensive games . gamebook -- Typeset gamebooks and other interactive novels . gamebooklib -- Macros for setting numbered entries in shuffled order . go -- Fonts and macros for typesetting go games . hanoi -- Tower of Hanoi in TeX . havannah -- Diagrams of board positions in the games of Havannah and Hex . hexboard -- For drawing Hex boards and games . hexgame -- Provide an environment to draw a hexgame-board . hmtrump -- Describe card games . horoscop -- Generate astrological charts in LaTeX . jeuxcartes -- Macros to insert playing cards . jigsaw -- Draw jigsaw pieces with TikZ . labyrinth -- Draw labyrinths and solution paths . logicpuzzle -- Typeset (grid-based) logic puzzles . mahjong -- Typeset Mahjong Tiles using MPSZ Notation . maze -- Generate random mazes . musikui -- Easy creation of "arithmetical restoration" puzzles . nimsticks -- Draws sticks for games of multi-pile Nim . onedown -- Typeset Bridge Diagrams . othello -- Modification of a Go package to create othello boards . othelloboard -- Typeset Othello (Reversi) diagrams of any size, with annotations . pas-crosswords -- Creating crossword grids, using TikZ . pgf-go -- pgf-go is a package for diagramming and commenting on Go games . playcards -- A simple template for drawing playcards . psgo -- Typeset go diagrams with PSTricks . quizztex -- Create quizzes like in TV shows . realtranspose -- The "real" way to transpose a Matrix . reverxii -- Playing Reversi in TeX . rouequestions -- Draw a "question wheel" (roue de questions) . rpgicons -- Icons for tabletop role-playing games . rubik -- Document Rubik cube configurations and rotation sequences . schwalbe-chess -- Typeset the German chess magazine "Die Schwalbe" . scrabble -- Commands for Scrabble boards . sgame -- LaTeX style for typesetting strategic games . skak -- Fonts and macros for typesetting chess games . skaknew -- The skak chess fonts redone in Adobe Type 1 . soup -- Generate alphabet soup puzzles . sudoku -- Create sudoku grids . sudokubundle -- A set of sudoku-related packages . tangramtikz -- Tangram puzzles, with TikZ . thematicpuzzle -- Horizontal banners in a puzzle style . tikz-triminos -- Create triminos, made with TikZ . trivialpursuit -- Insert Trivial Pursuit board game . twoxtwogame -- Visualize 2x2 normal-form games . wargame -- A LaTeX package to prepare hex'n'counter wargames . weiqi -- Use LaTeX3 to typeset Weiqi (Go) . wordle -- Create wordle grids . xq -- Support for writing about xiangqi . xskak -- An extension to the skak package for chess typesetting Package: texlive-humanities Description-md5: 995e9580de81c0aa947506755ff39dda Description-en: TeX Live: Humanities packages Packages for law, linguistics, social sciences, humanities, etc. . This package includes the following CTAN packages: . adtrees -- Macros for drawing adpositional trees . bibleref -- Format bible citations . bibleref-lds -- Bible references, including those to the scriptures of the Church of Jesus Christ of Latter Day Saints . bibleref-mouth -- Consistent formatting of Bible references . bibleref-parse -- Specify Bible passages in human-readable format . covington -- LaTeX macros for Linguistics . diadia -- Package to keep a diabetes diary . dramatist -- Typeset dramas, both in verse and in prose . dvgloss -- Facilities for setting interlinear glossed text . ecltree -- Trees using epic and eepic macros . edfnotes -- Critical annotations to footnotes with ednotes . edmac -- Typeset critical editions . eledform -- Define textual variants . eledmac -- Typeset scholarly editions . expex -- Linguistic examples and glosses, with reference capabilities . expex-glossonly -- Help gb4e, linguex, and covington users use the ExPex glossing macros . gb4e -- Linguistic tools . gb4e-next -- Linguistic tools . gmverse -- A package for typesetting (short) poems . interlinear -- A package for creating interlinear glossed texts with customizable formatting . jura -- A document class for German legal texts . juraabbrev -- Abbreviations for typesetting (German) juridical documents . juramisc -- Typesetting German juridical documents . jurarsp -- Citations of judgements and official documents in (German) juridical documents . langnames -- Name languages and their genetic affiliations consistently . ledmac -- Typeset scholarly editions . lexikon -- Macros for a two language dictionary . lexref -- Convenient and uniform references to legal provisions . ling-macros -- Macros for typesetting formal linguistics . linguex -- Format linguists' examples . liturg -- Support for typesetting Catholic liturgical texts . metrix -- Typeset metric marks for Latin text . nnext -- Extension for the gb4e package . opbible -- Creating a study Bible with OpTeX . parallel -- Typeset parallel texts . parrun -- Typesets (two) streams of text running parallel . phonrule -- Typeset linear phonological rules . plari -- Typesetting stageplay scripts . play -- Typeset drama using LaTeX . poemscol -- Typesetting Critical Editions of Poetry . poetry -- Facilities for typesetting poetry and poetical structure . poetrytex -- Typeset anthologies of poetry . qobitree -- LaTeX macros for typesetting trees . qtree -- Draw tree structures . reledmac -- Typeset scholarly editions . rrgtrees -- Linguistic tree diagrams for Role and Reference Grammar (RRG) with LaTeX . rtklage -- A package for German lawyers . screenplay -- A class file to typeset screenplays . screenplay-pkg -- Package version of the screenplay document class . sides -- A LaTeX class for typesetting stage plays . stage -- A LaTeX class for stage plays . textglos -- Typeset and index linguistic gloss abbreviations . thalie -- Typeset drama plays . theatre -- A sophisticated package for typesetting stage plays . tree-dvips -- Trees and other linguists' macros . verse -- Aids for typesetting simple verse . xyling -- Draw syntactic trees, etc., for linguistics literature, using xy-pic Package: texlive-humanities-doc Description-md5: 7970c09be020df4008b079e83da54e9d Description-en: TeX Live: Documentation files for texlive-humanities This package provides the documentation for texlive-humanities Package: texlive-latex-base Description-md5: dbcdab9460d57ae8f9f6cabcf78d5cc7 Description-en: TeX Live: LaTeX fundamental packages These packages are either mandated by the core LaTeX team, or very widely used and strongly recommended in practice. . This package includes the following CTAN packages: . ae -- Virtual fonts for T1 encoded CMR-fonts . amscls -- AMS document classes for LaTeX . amsmath -- AMS mathematical facilities for LaTeX . atbegshi -- Execute stuff at \shipout time . atveryend -- Hooks at the very end of a document . auxhook -- Hooks for auxiliary files . babel -- Multilingual support for LaTeX, LuaLaTeX, XeLaTeX, and Plain TeX . babel-english -- Babel support for English . babelbib -- Multilingual bibliographies . bigintcalc -- Integer calculations on very large numbers . bitset -- Handle bit-vector datatype . bookmark -- A new bookmark (outline) organization for hyperref . carlisle -- David Carlisle's small packages . colortbl -- Add colour to LaTeX tables . epstopdf-pkg -- Call epstopdf "on the fly" . etexcmds -- Avoid name clashes with e-TeX commands . etoolbox -- e-TeX tools for LaTeX . fancyhdr -- Extensive control of page headers and footers in LaTeX2e . firstaid -- First aid for external LaTeX files and packages that need updating . fix2col -- Fix miscellaneous two column mode features . geometry -- Flexible and complete interface to document dimensions . gettitlestring -- Clean up title references . graphics -- The LaTeX standard graphics bundle . graphics-cfg -- Sample configuration files for LaTeX color and graphics . grfext -- Manipulate the graphics package's list of extensions . hopatch -- Load patches for packages . hycolor -- Implements colour for packages hyperref and bookmark . hypcap -- Adjusting the anchors of captions . hyperref -- Extensive support for hypertext in LaTeX . intcalc -- Expandable arithmetic operations with integers . kvdefinekeys -- Define keys for use in the kvsetkeys package . kvoptions -- Key value format for package options . kvsetkeys -- Key value parser with default handler support . l3backend -- LaTeX3 backend drivers . l3kernel -- LaTeX3 programming conventions . l3packages -- High-level LaTeX3 concepts . latex -- A TeX macro package that defines LaTeX . latex-bin -- LaTeX executables and man pages . latex-fonts -- A collection of fonts used in LaTeX distributions . latex-lab -- LaTeX laboratory . latexconfig -- configuration files for LaTeX-related formats . letltxmacro -- Let assignment for LaTeX macros . ltxcmds -- Some LaTeX kernel commands for general use . ltxmisc -- Miscellaneous LaTeX packages, etc . mfnfss -- Packages to typeset oldgerman and pandora fonts in LaTeX . mptopdf -- mpost to PDF, native MetaPost graphics inclusion . natbib -- Flexible bibliography support . oberdiek -- A bundle of packages submitted by Heiko Oberdiek . pagesel -- Select pages of a document for output . pdfescape -- Implements pdfTeX's escape features using TeX or e-TeX . pslatex -- Use PostScript fonts by default . psnfss -- Font support for common PostScript fonts . pspicture -- PostScript picture support . refcount -- Counter operations with label references . rerunfilecheck -- Checksum based rerun checks on auxiliary files . stringenc -- Converting a string between different encodings . tools -- The LaTeX standard tools bundle . uniquecounter -- Provides unlimited unique counter . url -- Verbatim with URL-sensitive line breaks . hypdoc -- Hyper extensions for doc.sty . pdftexcmds -- LuaTeX support for pdfTeX utility functions . infwarerr -- Complete set of information/warning/error message macros Package: texlive-latex-base-doc Description-md5: a2182d8729e262aa2d6b925e5a5f6802 Description-en: TeX Live: Documentation files for texlive-latex-base This package provides the documentation for texlive-latex-base Package: texlive-latex-extra Description-md5: 44d6d0c422ab4bcd867b02067d51a14c Description-en: TeX Live: LaTeX additional packages A very large collection of add-on packages for LaTeX. . This package includes the following CTAN packages: . 2up -- Macros to print two-up . a0poster -- Support for designing posters on large paper . a4wide -- "Wide" a4 layout . a5comb -- Support for a5 paper sizes . abraces -- Asymmetric over-/underbraces in maths . abspos -- Absolute placement with coffins . abstract -- Control the typesetting of the abstract environment . accessibility -- Create tagged and structured PDF files . accsupp -- Better accessibility support for PDF files . achemso -- Support for American Chemical Society journal submissions . acro -- Typeset acronyms . acronym -- Expand acronyms at least once . acroterm -- Manage and index acronyms and terms . actuarialangle -- Angle symbol denoting a duration in actuarial and financial notation . actuarialsymbol -- Actuarial symbols of life contingencies and financial mathematics . addfont -- Easier use of fonts without LaTeX support . addlines -- A user-friendly wrapper around \enlargethispage . adjmulticol -- Adjusting margins for multicolumn and single column output . adjustbox -- Graphics package-alike macros for "general" boxes . adrconv -- BibTeX styles to implement an address database . advdate -- Print a date relative to "today" . affilauthor -- Tag author and affiliation information in a key-value style . akktex -- A collection of packages and classes . akletter -- Comprehensive letter support . alchemist -- Typeset alchemist and astrological symbols . alertmessage -- Alert messages for LaTeX . alnumsec -- Alphanumeric section numbering . alphalph -- Convert numbers to letters . alterqcm -- Multiple choice questionnaires in two column tables . altfont -- Alternative font handling in LaTeX . altsubsup -- Subscripts and superscripts with square brackets . amsaddr -- Alter the position of affiliations in amsart . anima -- Create slideshows with simple animations . animate -- Create PDF and SVG animations from graphics files and inline graphics . anonchap -- Make chapters be typeset like sections . answers -- Setting questions (or exercises) and answers . anyfontsize -- Select any font size in LaTeX . appendix -- Extra control of appendices . appendixnumberbeamer -- Manage frame numbering in appendixes in beamer . apptools -- Tools for customising appendices . arabicfront -- Frontmatter with arabic page numbers . arcs -- Draw arcs over and under text . argumentation -- Create abstract argumentation frameworks via TikZ . arraycols -- New column types for array and tabular environments . arrayjobx -- Array data structures for (La)TeX . arraysort -- Sort arrays (or portions of them) . arydshln -- Draw dash-lines in array/tabular . asciilist -- Environments AsciiList and AsciiDocList for prototyping nested lists in LaTeX . askinclude -- Interactive use of \includeonly . assignment -- A class file for typesetting homework and lab assignments . assoccnt -- Associate counters, making them step when a master steps . association-matrix -- LaTeX support for creating association matrices . atenddvi -- Provides the \AtEndDvi command . atendofenv -- Add a custom symbol at the end of an environment . attachfile -- Attach arbitrary files to a PDF document . aurl -- Extends the hyperref package with a mechanism for hyperlinked URLs abbreviated with prefixes . authoraftertitle -- Make author, etc., available after \maketitle . authorarchive -- Adds self-archiving information to scientific papers . authorindex -- Index citations by author names . autofancyhdr -- Automatically compute headlength for fancyhdr package . autonum -- Automatic equation references . autopdf -- Conversion of graphics to pdfLaTeX-compatible formats . autopuncitems -- Automatically punctuate lists . avremu -- An 8-Bit Microcontroller Simulator written in LaTeX . awesomebox -- Draw admonition blocks in your documents, illustrated with FontAwesome icons . axessibility -- Access to formulas in PDF files by assistive technologies . background -- Placement of background material on pages of a document . bankstatement -- A LaTeX class for bank statements based on csv data . bashful -- Invoke bash commands from within LaTeX . basicarith -- Macros for typesetting basic arithmetic . bchart -- Draw simple bar charts in LaTeX . beamer-rl -- Right to left presentation with beamer and babel . beamer2thesis -- Thesis presentations using beamer . beamerappendixnote -- Create notes on appendix frames in beamer . beameraudience -- Assembling beamer frames according to audience . beamerauxtheme -- Supplementary outer and inner themes for beamer . beamercolorthemeowl -- A flexible beamer color theme to maximize visibility . beamerdarkthemes -- Dark color themes for beamer . beamerposter -- Extend beamer and a0poster for custom sized posters . beamersubframe -- Reorder frames in the PDF file . beamertheme-arguelles -- Simple, typographic beamer theme . beamertheme-cuerna -- A beamer theme with 4 colour palettes . beamertheme-detlevcm -- A beamer theme designed for use in the University of Leeds . beamertheme-epyt -- A simple and clean theme for LaTeX beamer class . beamertheme-focus -- A minimalist presentation theme for LaTeX Beamer . beamertheme-gotham -- A versatile and extendable beamer theme based on Metropolis . beamertheme-light -- A minimal beamer style . beamertheme-metropolis -- A modern LaTeX beamer theme . beamertheme-mirage -- A beamer theme with dark and light colour schemes . beamertheme-npbt -- A collection of LaTeX beamer themes . beamertheme-phnompenh -- A simple beamer theme . beamertheme-pure-minimalistic -- A minimalistic presentation theme for LaTeX Beamer . beamertheme-rainbow -- A beamer colour theme which alternates theme colours on every frame . beamertheme-saintpetersburg -- A beamer theme that incorporates colours and fonts of Saint Petersburg State University . beamertheme-simpledarkblue -- Template for a simple presentation . beamertheme-simpleplus -- A simple and clean theme for LaTeX beamer . beamertheme-tcolorbox -- A beamer inner theme which reproduces standard beamer blocks using tcolorboxes . beamertheme-trigon -- A modern, elegant, and versatile theme for Beamer . beamertheme-upenn-bc -- Beamer themes for Boston College and the University of Pennsylvania . beamerthemeamurmaple -- A new modern beamer theme . beamerthemecelestia -- A modern and elegant Beamer theme . beamerthemeconcrete -- A collection of flat beamer themes . beamerthemejltree -- Contributed beamer theme . beamerthemelalic -- A beamer theme for LALIC . beamerthemenirma -- A Beamer theme for academic presentations . beamerthemenord -- A simple beamer theme using the "Nord" color theme . beamertools -- A collection of programming tools for beamer . bearwear -- Shirts to dress TikZbears . beaulivre -- Write your books in a colorful way . beautybook -- A beautiful book template for maths and science . beautynote -- A package designed to meet the publication of books and the production of LaTeX templates, with elegant chapter . beton -- Use Concrete fonts . bewerbung -- Typesetting job applications . bez123 -- Support for Bezier curves . bhcexam -- An exam class for mathematics teachers in China . bibletext -- Insert Bible passages by their reference . bigfoot -- Footnotes for critical editions . bigints -- Writing big integrals . bilingualpages -- Typeset two columns in parallel . biochemistry-colors -- Colors used to display amino acids, nucleotides, sugars or atoms in biochemistry . bithesis -- Templates for the Beijing Institute of Technology . bizcard -- Typeset business cards . blindtext -- Producing 'blind' text for testing . blkarray -- Extended array and tabular . block -- A block letter style for the letter class . blowup -- Upscale or downscale all pages of a document . bnumexpr -- Extends eTeX's \numexpr...\relax construct to big integers . boites -- Boxes that may break across pages . bold-extra -- Use bold small caps and typewriter fonts . book-of-common-prayer -- Typeset in the style of "Book of Common Prayer" . bookcover -- A class for book covers and dust jackets . bookest -- Extended book class . booklet -- Aids for printing simple booklets . bookshelf -- Create a nice image from a BibTeX file . boolexpr -- A boolean expression evaluator and a switch command . bophook -- Provides an At-Begin-Page hook . boxedminipage -- Framed minipages of a specified total width (text and frame combined) . boxhandler -- Flexible Captioning and Deferred Box/List Printing . bracketkey -- Produce bracketed identification keys . braket -- Dirac bra-ket and set notations . breakurl -- Line-breakable \url-like links in hyperref when compiling via dvips/ps2pdf . bubblesort -- Bubble sorts a list . bullcntr -- Display list item counter as regular pattern of bullets . bxcalc -- Extend the functionality of the calc package . bxdpx-beamer -- Dvipdfmx extras for use with beamer . bxdvidriver -- Enables specifying a driver option effective only in DVI output . bxenclose -- Enclose the document body with some pieces of code . bxnewfont -- Enhanced \newfont command . bxpapersize -- Synchronize output paper size with layout paper size . bxpdfver -- Specify version and compression level of output PDF files . bxtexlogo -- Additional TeX-family logos . calcage -- Calculate the age of something, in years . calctab -- Language for numeric tables . calculator -- Use LaTeX as a scientific calculator . calculatoritems -- Insert menus/items for classic calculators . calrsfs -- Copperplate calligraphic letters in LaTeX . cals -- Multipage tables with wide range of features . calxxxx-yyyy -- Print a calendar for a group of years . cancel -- Place lines through maths formulae . canoniclayout -- Create canonical page layouts with memoir . capt-of -- Captions on more than floats . captcont -- Retain float number across several floats . captdef -- Declare free-standing \caption commands . carbohydrates -- Carbohydrate molecules with chemfig . cases -- Numbered cases environment . casyl -- Typeset Cree/Inuktitut in Canadian Aboriginal Syllabics . catchfile -- Catch an external file into a macro . catchfilebetweentags -- Catch text delimited by docstrip tags . catechis -- Macros for typesetting catechisms . catoptions -- Preserving and recalling standard catcodes . catppuccinpalette -- Provides (x)colors of catppuccin theme . cbcoptic -- Coptic fonts and LaTeX macros for general usage and for philology . ccaption -- Continuation headings and legends for floats . cclicenses -- Typeset Creative Commons licence logos . cd -- Typeset CD covers . cd-cover -- Typeset CD covers . cdcmd -- Expandable conditional commands for LaTeX . cdpbundl -- Business letters in the Italian style . cellprops -- Accept CSS-like selectors in tabular, array, ... . cellspace -- Ensure minimal spacing of table cells . censor -- Tools for producing redacted documents . centeredline -- A macro for centering lines . centerlastline -- Paragraphs with last line centered, known as "Spanish" paragraphs . changebar -- Generate changebars in LaTeX documents . changelayout -- Change the layout of individual pages and their text . changelog -- Typesetting keepachangelog.com style changelogs . changepage -- Margin adjustment and detection of odd/even pages . changes -- Manual change markup . chappg -- Page numbering by chapter . chapterfolder -- Package for working with complicated folder structures . cheatsheet -- A simple cheatsheet class . checkend -- Extend "improperly closed environment" messages . chet -- LaTeX layout inspired by harvmac . chextras -- A companion package for the Swiss typesetter . childdoc -- Directly compile \include'd child documents . chkfloat -- Warn whenever a float is placed "to far away" . chletter -- Class for typesetting letters to Swiss rules . chngcntr -- Change the resetting of counters . chronology -- Provides a horizontal timeline . chronos -- TikZ-based package for drawing customisable timelines with support for colour schemes, styles and memoization . circ -- Macros for typesetting circuit diagrams . circledsteps -- Typeset circled numbers . circledtext -- Create circled text . classics -- Cite classic works . classpack -- XML mastering for LaTeX classes and packages . clefval -- Key/value support with a hash . cleveref -- Intelligent cross-referencing . cleveref-forward -- Forward-referencing functionality for cleveref . cleveref-usedon -- Adds forward-referencing functionality to the cleveref package . clicks -- Slide Deck Animation . clipboard -- Copy and paste into and across documents . clistmap -- Map and iterate over LaTeX3 clists . clock -- Graphical and textual clocks for TeX and LaTeX . clrdblpg -- Control pagestyle of pages left blank by \cleardoublepage . clrstrip -- Place contents into a full width colour strip . cmdstring -- Get command name reliably . cmdtrack -- Check used commands . cmsd -- Interfaces to the CM Sans Serif Bold fonts . cnltx -- LaTeX tools and documenting facilities . cntformats -- A different way to read counters . cntperchap -- Store counter values per chapter . codebox -- Highlighted source code in a fancy box . codedescribe -- LaTeX code description and documentation . codedoc -- LaTeX code and documentation in LaTeX-format file . codehigh -- Highlight code and demos with l3regex and lpeg . codepage -- Support for variant code pages . codesection -- Provides an environment that may be conditionally included . collcell -- Collect contents of a tabular cell as argument to a macro . collectbox -- Collect and process macro arguments as boxes . colophon -- Provides commands for producing a colophon . color-edits -- Colorful edits for multiple authors of a shared document . colorblind -- Easy colorblind-safe typesetting . colordoc -- Coloured syntax highlights in documentation . colorframed -- Fix color problems with the package "framed" . colorinfo -- Retrieve colour model and values for defined colours . coloring -- Define missing colors by their names . colorist -- Write your articles or books in a colorful way . colorspace -- Provides PDF color spaces . colortab -- Shade cells of tables and halign . colorwav -- Colours by wavelength of visible light . colorweb -- Extend the color package colour space . colourchange -- Colourchange . combelow -- Typeset "comma-below" letters, as in Romanian . combine -- Bundle individual documents into a single document . comma -- Formats a number by inserting commas . commado -- Expandable iteration on comma-separated and filename lists . commalists-tools -- Manipulate numeral comma separated lists . commedit -- Commented editions with LaTeX . comment -- Selectively include/exclude portions of text . commonunicode -- Convert common unicode symbols to LaTeX code . competences -- Track skills of classroom checks . concepts -- Keeping track of formal 'concepts' for a particular field . concprog -- Concert programmes . conditext -- Define and manage conditional content . constants -- Automatic numbering of constants . continue -- Prints 'continuation' marks on pages of multipage documents . contour -- Print a coloured contour around text . contracard -- Generate calling cards for dances . conv-xkv -- Create new key-value syntax . cooking -- Typeset recipes . cooking-units -- Typeset and convert units for cookery books and recipes . cool -- COntent-Oriented LaTeX . coolfn -- Typeset long legal footnotes . coollist -- Manipulate COntent Oriented LaTeX Lists . coolstr -- String manipulation in LaTeX . coolthms -- Reference items in a theorem environment . cooltooltips -- Associate a pop-up window and tooltip with PDF hyperlinks . coop-writing -- Support for Cooperative Writing and editorial comments . coordsys -- Draw cartesian coordinate systems . copyedit -- Copyediting support for LaTeX documents . copyrightbox -- Provide copyright notices for images in a document . coseoul -- Context sensitive outline elements . count1to -- Make use of count1 to count9 . counterz -- Additional tools for counters . counttexruns -- Count compilations of a document . courseoutline -- Prepare university course outlines . coursepaper -- Prepare university course papers . coverpage -- Automatic cover page creation for scientific papers . cprotect -- Allow verbatim, etc., in macro arguments . cprotectinside -- Use cprotect arbitrarily nested . crbox -- Boxes with crossed corners . create-theorem -- Initializing and configuring theorem-like environments, with multilingual support . creationboites -- Macros to create simple tcolorbox with some customizations . crefthe -- Cross referencing with proper definite articles and declensions . crossreference -- Crossreferences within documents . crossreftools -- Expandable extraction of cleveref data . crumbs -- Add a Navigation Path to the page header . csquotes -- Context sensitive quotation facilities . css-colors -- Named colors for web-safe design . csvmerge -- Merge TeX code with csv data . csvsimple -- Simple CSV file processing . cuisine -- Typeset recipes . currency -- Format currencies in a consistent way . currfile -- Provide file name and path of input files . curriculum-vitae -- Lightweight class for CVs . currvita -- Typeset a curriculum vitae . customenvs -- Custom environments (MCQ, list with picked items, ...) . cutwin -- Cut a window in a paragraph, typeset material in it . cv -- A package for creating a curriculum vitae . cv4tw -- LaTeX CV class, with extended details . cweb-latex -- A LaTeX version of CWEB . cyber -- Annotate compliance with cybersecurity requirements . cybercic -- "Controls in Contents" for the cyber package . darkmode -- General Dark Mode Support for LaTeX-Documents . dashbox -- Draw dashed boxes . dashrule -- Draw dashed rules . dashrulex -- Draw dashed rules . dashundergaps -- Produce gaps that are underlined, dotted or dashed . dataref -- Manage references to experimental data . datatool -- Tools to load and manipulate data . datatool-english -- English language support for datatool.sty v3.0+ . datatool-regions -- Region (Numeric) Support for datatool v3.0+ . datax -- Import individual data from script files . dateiliste -- Extensions of the \listfiles concept . datenumber -- Convert a date into a number and vice versa . datestamp -- Fixed date-stamps with LuaLaTeX . datetime -- Change format of \today with commands for current time . datetime2 -- Formats for dates, times and time zones . datetime2-bahasai -- Bahasai language module for the datetime2 package . datetime2-basque -- Basque language module for the datetime2 package . datetime2-breton -- Breton language module for the datetime2 package . datetime2-bulgarian -- Bulgarian language module for the datetime2 package . datetime2-catalan -- Catalan language module for the datetime2 package . datetime2-croatian -- Croatian language module for the datetime2 package . datetime2-czech -- Czech language module for the datetime2 package . datetime2-danish -- Danish language module for the datetime2 package . datetime2-dutch -- Dutch language module for the datetime2 package . datetime2-en-fulltext -- English Full Text styles for the datetime2 package . datetime2-english -- English language module for the datetime2 package . datetime2-esperanto -- Esperanto language module for the datetime2 package . datetime2-estonian -- Estonian language module for the datetime2 package . datetime2-finnish -- Finnish language module for the datetime2 package . datetime2-french -- French language module for the datetime2 package . datetime2-galician -- Galician language module for the datetime2 package . datetime2-german -- German language module for the datetime2 package . datetime2-greek -- Greek language module for the datetime2 package . datetime2-hebrew -- Hebrew language module for the datetime2 package . datetime2-icelandic -- Icelandic language module for the datetime2 package . datetime2-irish -- Irish Gaelic Language Module for the datetime2 Package . datetime2-it-fulltext -- Italian full text styles for the datetime2 package . datetime2-italian -- Italian language module for the datetime2 package . datetime2-latin -- Latin language module for the datetime2 package . datetime2-lsorbian -- Lower Sorbian language module for the datetime2 package . datetime2-magyar -- Magyar language module for the datetime2 package . datetime2-norsk -- Norsk language module for the datetime2 package . datetime2-polish -- Polish language module for the datetime2 package . datetime2-portuges -- Portuguese language module for the datetime2 package . datetime2-romanian -- Romanian language module for the datetime2 package . datetime2-russian -- Russian language module for the datetime2 package . datetime2-samin -- Northern Sami language module for the datetime2 package . datetime2-scottish -- Scottish Gaelic Language Module for the datetime2 Package . datetime2-serbian -- Serbian language module for the datetime2 package . datetime2-slovak -- Slovak language module for the datetime2 package . datetime2-slovene -- Slovene language module for the datetime2 package . datetime2-spanish -- Spanish language module for the datetime2 package . datetime2-swedish -- Swedish language module for the datetime2 package . datetime2-turkish -- Turkish language module for the datetime2 package . datetime2-ukrainian -- Ukrainian language module for the datetime2 package . datetime2-usorbian -- Upper Sorbian language module for the datetime2 package . datetime2-welsh -- Welsh language module for the datetime2 package . dblfloatfix -- Fixes for twocolumn floats . dbshow -- A package to store and display data with custom filters, orders, and styles . debate -- Debates between reviewers . decimal -- LaTeX package for the English raised decimal point . decimalcomma -- Comma for decimal numbers . decorule -- Decorative swelled rule using font character . defoldfonts -- Define old font commands . delimtxt -- Read and parse text tables . democodetools -- Package for LaTeX code documentation . denisbdoc -- A personal dirty package for documenting packages . diabetes-logbook -- A logbook for people with type one diabetes . diagbox -- Table heads with diagonal lines . diagnose -- A diagnostic tool for a TeX installation . dialogl -- Macros for constructing interactive LaTeX scripts . dichokey -- Construct dichotomous identification keys . didactic -- Tools for writing teaching material . didec -- Fixed-point arithmetic with two decimal places . dimnum -- Commands for dimensionless numbers . dinbrief -- German letter DIN style . directory -- An address book using BibTeX . dirtytalk -- A package to typeset quotations easier . dlfltxb -- Macros related to "Introdktion til LaTeX" . dnaseq -- Format DNA base sequences . doclicense -- Support for putting documents under a license . docmfp -- Document non-LaTeX code . docmute -- Input files ignoring LaTeX preamble, etc . docshots -- TeX samples next to their PDF snapshots . doctools -- Tools for the documentation of LaTeX code . documentation -- Documentation support for C, Java and assembler code . docutils -- Helper commands and element definitions for Docutils LaTeX output . doi -- Create correct hyperlinks for DOI numbers . doibanner -- Generate DOI banners and links . dotarrow -- Extendable dotted arrows . dotlessi -- Provides dotless i's and j's for use in any math font . dotseqn -- Flush left equations with dotted leaders to the numbers . download -- Allow LaTeX to download files using an external process . dox -- Extend the doc package . dpfloat -- Support for double-page floats . dprogress -- LaTeX-relevant log information for debugging . drac -- Declare active character substitution, robustly . draftcopy -- Identify draft copies . draftfigure -- Replace figures with a white box and additional features . draftwatermark -- Put a grey textual watermark on document pages . dtk -- Document class for the journal of DANTE . dtxdescribe -- Describe additional object types in dtx source files . dtxgallery -- A small collection of minimal DTX examples . ducksay -- Draw ASCII art of animals saying a specified message . duckuments -- Create duckified dummy content . dvdcoll -- A class for typesetting DVD archives . dynamicnumber -- Dynamically typeset numbers and values in LaTeX through "symbolic links" . dynblocks -- A simple way to create dynamic blocks for Beamer . ean13isbn -- Print EAN13 for ISBN . easy -- A collection of easy-to-use macros . easy-todo -- To-do notes in a document . easybook -- Easily typesetting Chinese theses or books . easyfig -- Simplifying the use of common figures . easyfloats -- An easier interface to insert figures, tables and other objects in LaTeX . easyformat -- Easily add boldface, italics and smallcaps . easylist -- Lists using a single active character . easyreview -- Package to provide a way to review (or perform editorial process) in LaTeX . ebezier -- Device independent picture environment enhancement . ecclesiastic -- Typesetting Ecclesiastic Latin . econlipsum -- Generate sentences from economic articles . ecv -- A fancy Curriculum Vitae class . ed -- Editorial Notes for LaTeX documents . edichokey -- Typeset dichotomous identification keys . edmargin -- Multiple series of endnotes for critical editions . eemeir -- Adjust the gender of words in a document . efbox -- Extension of \fbox, with controllable frames and colours . egplot -- Encapsulate Gnuplot sources in LaTeX documents . ehhline -- Extend the \hhline command . einfart -- Write your articles in a simple and clear way . elements -- Provides properties of chemical elements . ellipsis -- Fix uneven spacing around ellipses in LaTeX text mode . elmath -- Mathematics in Greek texts . elocalloc -- Local allocation macros for LaTeX 2015 . elpres -- A simple class for electronic presentations . elzcards -- Typeset business cards, index cards and flash cards easily . emarks -- Named mark registers with e-TeX . embedall -- Embed source files into the generated PDF . embedfile -- Embed files into PDF . embrac -- Upright brackets in emphasised text . emo -- Emoji for all (LaTeX engines) . emotion -- Make emojis more easy to use in XeLaTeX and LuaLaTeX . emptypage -- Make empty pages really empty . emulateapj -- Produce output similar to that of APJ . endfloat -- Move floats to the end, leaving markers where they belong . endheads -- Running headers of the form "Notes to pp.xx-yy" . endnotes -- Place footnotes at the end . endnotes-hy -- Patches the endnotes package to create hypertext links to the correct anchors . engpron -- Helps to type the pronunciation of English words . engrec -- Enumerate with lower- or uppercase Greek letters . enotez -- Support for end-notes . ensps-colorscheme -- Color palette and styling of ENS Paris-Saclay . enumext -- Enumerate exercise sheets . enumitem -- Control layout of itemize, enumerate, description . enumitem-zref -- Extended references to items for enumitem package . envbig -- Printing addresses on envelopes . enverb -- Read an environment verbatim . environ -- A new interface for environments in LaTeX . envlab -- Addresses on envelopes or mailing labels . epcqrcode -- Generate valid EPC QR codes . epigraph -- A package for typesetting epigraphs . epigraph-keys -- Epigraphs using key values . epiolmec -- Typesetting the Epi-Olmec Language . eq-pin2corr -- Add PIN security to the "Correct" button of a quiz created by exerquiz . eqell -- Sympathetically spaced ellipsis after punctuation . eqlist -- Description lists with equal indentation . eqnalign -- Make eqnarray behave like align . eqname -- Name tags for equations . eqparbox -- Create equal-widthed parboxes . errata -- Error markup for LaTeX documents . erw-l3 -- Utilities based on LaTeX3 . esami -- Typeset exams with scrambled questions and answers . esdiff -- Simplify typesetting of derivatives . esieecv -- Curriculum vitae for French use . esindex -- Generate sorting keys for indexes . esint -- Extended set of integrals for Computer Modern . esint-type1 -- Font esint10 in Type 1 format . etaremune -- Reverse-counting enumerate environment . etextools -- e-TeX tools for LaTeX users and package writers . etl -- Expandable token list operations . etoc -- Completely customisable TOCs . eukdate -- UK format dates, with weekday . eulerpx -- A modern interface for the Euler math fonts . euromoney -- Vectorial coins and banknotes in euro, with stacking option . europasscv -- Unofficial class for the new version of the Europass curriculum vitae . europecv -- Unofficial class for European curricula vitae . everyhook -- Hooks for standard TeX token lists . everypage -- Provide hooks to be run on every page of a document . exam -- Package for typesetting exam scripts . exam-lite -- Quicker preparation of exams in LaTeX . exam-n -- Exam class, focused on collaborative authoring . exam-randomizechoices -- Randomize mc choices using the exam class . examdesign -- LaTeX class for typesetting exams . example -- Typeset examples for TeX courses . examplep -- Verbatim phrases and listings in LaTeX . examz -- Randomized exams with multiple versions . exceltex -- Get data from Excel files into LaTeX . excludeonly -- Prevent files being \include-ed . exercise -- Typeset exercises, problems, etc. and their answers . exercisebank -- Creating and managing exercises, and reusing them as composed sets . exercisepoints -- A LaTeX package to count exercises and points . exercises -- Typeset exercises and solutions with automatic addition of points . exercisesheets -- Typeset exercise sheets for university courses and school classes . exesheet -- Typesetting exercise or exam sheets . exframe -- Framework for exercise problems . exp-testopt -- Expandable \@testopt (and related) macros . expdlist -- Expanded description environments . export -- Import and export values of LaTeX registers . exsheets -- Create exercise sheets and exams . exsol -- Exercises and solutions from the same source, into a book . extract -- Extract parts of a document and write to another document . ezedits -- Commands for tracking document changes and notes . facsimile -- Document class for preparing faxes . factura -- Typeset and calculate invoices according to Venezuelan law . fail-fast -- Turn warnings into errors . familytree -- Draw family trees . fancyhandout -- A LaTeX class for producing nice-looking handouts . fancylabel -- Complex labelling with LaTeX . fancynum -- Typeset numbers . fancypar -- Decoration of individual paragraphs . fancyqr -- Fancy QR-Codes with TikZ . fancyslides -- Custom presentation class built upon LaTeX Beamer . fancytabs -- Fancy page border tabs . fancytooltips -- Include a wide range of material in PDF tooltips . fbox -- Extended \fbox macro from standard LaTeX . fcolumn -- Typesetting financial tables . fetchcls -- Fetch the current class name . fewerfloatpages -- Reduce the number of unnecessary float pages . ffcode -- Fixed-font code blocks formatted nicely . ffslides -- Freeform slides based on the article class . fgruler -- Draw rulers on the foreground or in the text . fifo-stack -- FIFO and stack implementation for package writers . figsize -- Auto-size graphics . filecontents -- Create an external file from within a LaTeX document . filecontentsdef -- filecontents + macro + verbatim . filedate -- Access and compare info and modification dates . fileinfo -- Enhanced display of LaTeX File Information . filemod -- Provide file modification times, and compare them . fillpages -- Fill up the page count to a certain number (e.g. divisible by 4) . fillwith -- Fill vertical space with solid rules or dotted lines . fink -- The LaTeX2e File Name Keeper . finstrut -- Adjust behaviour of the ends of footnotes . fistrum -- Access to 150 paragraphs of Lorem Fistrum very dummy text . fithesis -- Thesis class and template for Masaryk University (Brno, Czech Republic) . fixcmex -- Fully scalable version of Computer Modern Math Extension font . fixfoot -- Multiple use of the same footnote text . fixme -- Collaborative annotation tool for LaTeX . fixmetodonotes -- Add notes on document development . fjodor -- A selection of layout styles . flabels -- Labels for files and folders . flacards -- Generate flashcards for printing . flagderiv -- Flag style derivation package . flashcards -- A class for typesetting flashcards . flashmovie -- Directly embed flash movies into PDF files . flexipage -- Flexible page geometry with marginalia . flipbook -- Typeset flipbook animations, in the corners of documents . flippdf -- Horizontal flipping of pages with pdfLaTeX . floatbytocbasic -- An enhancement to package float using package tocbasic . floatflt -- Wrap text around floats . floatrow -- Modifying the layout of floats . floatrowbytocbasic -- An enhancement to package floatrow using package tocbasic . flowfram -- Create text frames for posters, brochures or magazines . fmp -- Include Functional MetaPost in LaTeX . fmtcount -- Display the value of a LaTeX counter in a variety of formats . fn2end -- Convert footnotes to endnotes . fnbreak -- Warn for split footnotes . fncychap -- Seven predefined chapter heading styles . fncylab -- Alter the format of \label references . fnpara -- Footnotes in paragraphs . fnpct -- Manage footnote marks' interaction with punctuation . fnumprint -- Print a number in 'appropriate' format . foilhtml -- Interface between foiltex and LaTeX2HTML . foliono -- Use folio numbers to replace page numbers . fontaxes -- Additional font axes for LaTeX . fontscale -- A flexible interface for setting font sizes . fontsetup -- A front-end to fontspec, for selected fonts with math support . fontsize -- A small package to set arbitrary sizes for the main font of the document . fonttable -- Print font tables from a LaTeX document . footmisc -- A range of footnote options . footmisx -- A range of footnote options . footnotebackref -- Back-references from footnotes . footnoterange -- References to ranges of footnotes . footnpag -- Per-page numbering of footnotes . forarray -- Using array structures in LaTeX . foreign -- Systematic treatment of 'foreign' words in documents . forloop -- Iteration in LaTeX . formlett -- Letters to multiple recipients . forms16be -- Initialize form properties using big-endian encoding . formular -- Create forms containing field for manual entry . fragments -- Fragments of LaTeX code . frame -- Framed boxes for Plain TeX . framed -- Framed or shaded regions that can break across pages . framedsyntax -- Typeset the syntax of commands and environments within coloured boxes . frankenstein -- A collection of LaTeX packages . frege -- Typeset fregean Begriffsschrift . froufrou -- Fancy section separators . ftcap -- Allows \caption at the beginning of a table-environment . ftnxtra -- Extend the applicability of the \footnote command . fullblck -- Left-blocking for letter class . fullminipage -- Minipage spanning a complete page . fullwidth -- Adjust margins of text block . functional -- An intuitive functional programming interface for LaTeX2 . fundus-calligra -- Support for the calligra font in LaTeX documents . fundus-cyr -- Support for Washington University Cyrillic fonts . fundus-sueterlin -- Sutterlin . fvextra -- Extensions and patches for fancyvrb . fwlw -- Get first and last words of a page . g-brief -- Letter document class . gatherenum -- A crossover of align* and enumerate . gauss -- A package for Gaussian operations . gcard -- Arrange text on a sheet to fold into a greeting card . gcite -- Citations in a reader-friendly style . gender -- Gender neutrality for languages with grammatical gender . genealogy-profiles -- Genealogical profiles for LaTeX . genmpage -- Generalization of LaTeX's minipages . gensymb -- Generic symbols for both text and math mode . getfiledate -- Find the date of last modification of a file . getitems -- Gathering items from a list-like environment . gindex -- Formatting indexes . ginpenc -- Modification of inputenc for German . gitfile-info -- Get git metadata for a specific file . gitinfo -- Access metadata from the git distributed version control system . gitinfo2 -- Access metadata from the git distributed version control system . gitlog -- Typesetting git changelogs . gitstatus -- Include Git information in the document as watermark or via variables . gitver -- Get the current git hash of a project and typeset it in the document . globalvals -- Declare global variables . gloss -- Create glossaries using BibTeX . glossaries -- Create glossaries and lists of acronyms . glossaries-danish -- Danish language module for glossaries package . glossaries-dutch -- Dutch language module for glossaries package . glossaries-english -- English language module for glossaries package . glossaries-estonian -- Estonian language module for glossaries package . glossaries-extra -- An extension to the glossaries package . glossaries-finnish -- Finnish language module for glossaries package . glossaries-french -- French language module for glossaries package . glossaries-german -- German language module for glossaries package . glossaries-irish -- Irish language module for glossaries package . glossaries-italian -- Italian language module for glossaries package . glossaries-magyar -- Magyar language module for glossaries package . glossaries-norsk -- Norsk Bokmal language module for the glossaries Package . glossaries-nynorsk -- Nynorsk language module for the glossaries package . glossaries-polish -- Polish language module for glossaries package . glossaries-portuges -- Portuges language module for glossaries package . glossaries-serbian -- Serbian language module for glossaries package . glossaries-slovene -- Slovene language module for glossaries package . glossaries-spanish -- Spanish language module for glossaries package . gmdoc -- Documentation of LaTeX packages . gmdoc-enhance -- Some enhancements to the gmdoc package . gmiflink -- Simplify usage of \hypertarget and \hyperlink . gmutils -- Support macros for other packages . gmverb -- A variant of LaTeX \verb, verbatim and shortvrb . grabbox -- Read an argument into a box and execute the code afterwards . gradient-text -- Decorate text with linear gradient colors . grading-scheme -- Typeset grading schemes in tabular format . graphbox -- Extend graphicx to improve placement of graphics . graphicscache -- Cache includegraphics calls . graphicx-psmin -- Reduce size of PostScript files by not repeating images . graphicxbox -- Insert a graphical image as a background . graphpaper -- A LaTeX class to generate several types of graph papers . gratzer-color-scheme -- Colors definitions, theorems, corollaries, lemmas, and propositions . grayhints -- Produce 'gray hints' to a variable text field . grfpaste -- Include fragments of a dvi file . grid -- Grid typesetting in LaTeX . grid-system -- Page organisation, modelled on CSS facilities . gridpapers -- Graph paper backgrounds and color schemes . gridset -- Grid, a.k.a. in-register, setting . gridslides -- Free form slides with blocks placed on a grid . gs1 -- Typeset EAN barcodes using TeX rules, only . guitlogo -- Macros for typesetting the GuIT logo . ha-prosper -- Patches and improvements for prosper . hackthefootline -- Footline selection and configuration for LaTeX beamer's standard themes . halloweenmath -- Scary and creepy math symbols with AMS-LaTeX integration . handin -- Light weight template for creating school submissions using LaTeX . handout -- Create handout for auditors of a talk . handoutwithnotes -- Create Handouts with notes from your LaTeX beamer presentation . hang -- Environments for hanging paragraphs and list items . hanging -- Hanging paragraphs . hardwrap -- Hard wrap text to a certain character length . harnon-cv -- A CV document class with a vertical timeline for experience . harpoon -- Extra harpoons, using the graphics package . hc -- Replacement for the LaTeX classes . he-she -- Alternating pronouns to aid gender-neutral writing . hebdomon -- A document class for report writing in university settings . hep-acronym -- An acronym extension for glossaries . hep-float -- Convenience package for float placement . hep-math -- Extended math macros . hep-text -- List and text extensions . hep-title -- Extensions for the title page . hereapplies -- A LaTeX package for referencing groups of pages that share something in common . hhtensor -- Print vectors, matrices, and tensors . hideanswer -- Generate documents with and without answers by toggling a switch . highlightlatex -- Syntax highlighting for LaTeX . highlightx -- Highlight formulas or paragraphs . histogr -- Draw histograms with the LaTeX picture environment . hitec -- Class for documentation . hitreport -- Harbin Institute of Technology Report LaTeX Template . hletter -- Flexible letter typesetting with flexible page headings . hobsub -- Construct package bundles . homework -- A LaTeX class for writing your homework . hpsdiss -- A dissertation class . href-ul -- Underscored LaTeX hyperlinks . hrefhide -- Suppress hyper links when printing . huawei -- Template for Huawei documents . hvextern -- Write and execute external code, and insert the output . hvindex -- Support for indexing . hvlogos -- Print TeX-related names as logo . hvpygmentex -- Syntax-Highlighting of program code . hvqrurl -- Insert a QR code in the margin . hwemoji -- Unicode emoji support for pdfLaTeX with sequences . hypdestopt -- Hyperref destination optimizer . hypdvips -- Hyperref extensions for use with dvips . hyper -- Hypertext cross referencing . hyperbar -- Add interactive Barcode fields to PDF forms . hypernat -- Allow hyperref and natbib to work together . hyperxmp -- Embed XMP metadata within a LaTeX document . hyphenat -- Disable/enable hypenation . identkey -- Typesetting bracketed dichotomous identification keys . idxcmds -- Semantic commands for adding formatted index entries . idxlayout -- Configurable index layout, responsive to KOMA-Script and memoir . iexec -- Execute shell commands and input their output . ifallfalse -- Compare a string against a set of other strings . iffont -- Conditionally load fonts with fontspec . ifmslide -- Presentation slides for screen and printouts . ifmtarg -- If-then-else command for processing potentially empty arguments . ifnextok -- Utility macro: peek ahead without ignoring spaces . ifoddpage -- Determine if the current page is odd or even . ifthenx -- Extra tests for \ifthenelse . iitem -- Multiple level of lists in one list-like environment . image-gallery -- Create an overview of pictures from a digital camera or from other sources . imakeidx -- A package for producing multiple indexes . import -- Establish input relative to a directory . incgraph -- Sophisticated graphics inclusion in a PDF document . indextools -- Producing multiple indices . indextra -- Enhanced index typesetting . inline-images -- Inline images in base64 encoding . inlinedef -- Inline expansions within definitions . inlinegraphicx -- Insert inline images, with automatic size/positioning . inlinelabel -- Assign equation numbers to inline equations . inputenx -- Enhanced input encoding handling . inputtrc -- Trace which file loads which . interactiveworkbook -- LaTeX-based interactive PDF on the Web . interfaces -- Set parameters for other packages, conveniently . intopdf -- Embed non-PDF files into PDF with hyperlink . inversepath -- Calculate inverse file paths . invoice -- Generate invoices . invoice-class -- Produces a standard US invoice from a CSV file . invoice2 -- Intelligent invoices with LaTeX3 . ipsum -- Insert multilingual placeholder text . iso -- Generic ISO standards typesetting macros . iso10303 -- Typesetting the STEP standards . isodate -- Tune the output format of dates according to language . isodoc -- A LaTeX class for typesetting letters and invoices . isonums -- Display numbers in maths mode according to ISO 31-0 . isopt -- Writing a TeX length with a space between number and unit . isorot -- Rotation of document elements . isosafety -- Provides ISO signs and colors according to the standards 7010 and 3864 . isotope -- A package for typesetting isotopes . issuulinks -- Produce external links instead of internal ones . iwonamath -- LaTeX support for scaled Iwona math fonts . jlabels -- Make letter-sized pages of labels . jmsdelim -- A package for compositional delimiter sizing . jobname-suffix -- Compile differently based on the filename . joinbox -- Join boxes vertically or horizontally . jslectureplanner -- Creation and management of university course material . jsonparse -- Parse, store and access JSON data in LaTeX documents . jumplines -- Articles with teasers and continuation later on . jvlisting -- A replacement for LaTeX's verbatim package . kalendarium -- Print dates according to the classical Latin calendar . kantlipsum -- Generate sentences in Kant's style . kerntest -- Print tables and generate control files to adjust kernings . keycommand -- Simple creation of commands with key-value arguments . keyfloat -- Provides a key/value interface for generating floats . keyindex -- Index entries by key lookup . keyparse -- Key based parser . keyreader -- A robust interface to xkeyval . keystroke -- Graphical representation of keys on keyboard . keyval2e -- A lightweight and robust key-value parser . keyvaltable -- Re-usable table layouts separating content and presentation . kix -- Typeset KIX codes . knowledge -- Displaying, hyperlinking, and indexing notions in a document . koma-moderncvclassic -- Makes the style and command of moderncv (style classic) available for koma-classes and thus compatible with BibLaTeX . koma-script-sfs -- Koma-script letter class option for Finnish . komacv -- Typesetting a beautiful CV with various style options . komacv-rg -- LaTeX packages that aid in creating CVs based on the komacv class and creating related documents . korigamik -- Typeset articles using KorigamiK's document class . ktv-texdata -- Extract subsets of documents . l3backend-dev -- LaTeX3 backend drivers (dev) . l3build -- A testing and building system for (La)TeX . l3kernel-dev -- Development pre-release of l3kernel . labbook -- Typeset laboratory journals . labels -- Print sheets of sticky labels . labels4easylist -- Add reference labels to easylist items . labelschanged -- Identify labels which cause endless "may have changed" warnings . lambdax -- Use Lambda expression within LaTeX . lastbib -- Record the number of citations in a document . lastpackage -- Indicates the last loaded package . lastpage -- Reference last page for Page N of M type footers . latex-amsmath-dev -- Development pre-release of the LaTeX amsmath bundle . latex-base-dev -- Development pre-release of the LaTeX kernel . latex-bin-dev -- LaTeX pre-release executables and formats . latex-context-ppchtex -- Legacy stub to allow loading pictex as m-pictex . latex-firstaid-dev -- Development pre-release of the LaTeX firstaid package . latex-graphics-dev -- Development pre-release of the LaTeX graphics bundle . latex-lab-dev -- LaTeX laboratory: Development pre-release . latex-tools-dev -- Development pre-release of the LaTeX tools bundle . latex-uni8 -- Universal inputenc, fontenc, and babel for pdfLaTeX and LuaLaTeX . latex2pydata -- Write data to file in Python literal format . latexcolors -- Use color definitions from latexcolor.com . latexdemo -- Demonstrate LaTeX code with its resulting output . latexgit -- A LaTeX git wrapper . layouts -- Display various elements of a document's layout . lazylist -- Lists in TeX's "mouth" . lccaps -- Lowercased (spaced) small capitals . lcd -- Alphanumerical LCD-style displays . lcg -- Generate random integers . leading -- Define leading with a length . leaflet -- Create small handouts (flyers) . lebhart -- Write your articles in a colorful way . lectures -- A document class for quickly drafting nice looking lecture notes . lectureslides -- Combine single PDF files into one file . leftidx -- Left and right subscripts and superscripts in math mode . leftindex -- Left indices with better spacing . leipzig -- Typeset and index linguistic gloss abbreviations . lengthconvert -- Express lengths in arbitrary units . lettre -- Letters and faxes in French . lettrine -- Typeset dropped capitals . lewis -- Draw Lewis structures . lhelp -- Miscellaneous helper packages . libgreek -- Greek letters in math mode from Libertinus or Linux Libertine/Biolinum . limap -- Typeset maps and blocks according to the Information Mapping(r) method . linegoal -- A "dimen" that returns the space left on the line . linop -- Typeset linear operators as they appear in quantum theory or linear algebra . lipsum -- Easy access to the Lorem Ipsum and other dummy texts . lisp-on-tex -- Execute LISP code in a LaTeX document . listing -- Produce formatted program listings . listingsutf8 -- Allow UTF-8 in listings input . listlbls -- Creates a list of all labels used throughout a document . listliketab -- Typeset lists as tables . listofsymbols -- Create and manipulate lists of symbols . listparskip -- Adjust vertical space around lists . litetable -- Class schedules with colorful course blocks . lkproof -- LK Proof figure macros . lmake -- Process lists to do repetitive actions . locality -- Various macros for keeping things local . logbox -- e-TeX showbox facilities for exploration purposes . logical-markup-utils -- Packages for language-dependent inline quotes and dashes . logoetalab -- Insert Licence Etalab 2.0 logo . logpap -- Generate logarithmic graph paper with LaTeX . longfbox -- Draw framed boxes with standard CSS attributes that can break over multiple pages . longfigure -- Provides a figure-like environment that break over pages . longnamefilelist -- Tidy \listfiles with long file names . loops -- General looping macros for use with LaTeX . lsc -- Typesetting Live Sequence Charts . lscapeenhanced -- An enhancement to the lscape and pdflscape packages . lstaddons -- Add-on packages for listings: autogobble and line background . lstfiracode -- Use Fira Code font for listings . lt3graph -- Provide a graph datastructure for experimental LaTeX3 . lt3rawobjects -- Objects and proxies in LaTeX3 . ltablex -- Table package extensions . ltabptch -- Bug fix for longtable . ltxdockit -- Documentation support . ltxguidex -- An extended ltxguide class . ltxkeys -- A robust key parser for LaTeX . ltxnew -- A simple means of creating commands . ltxtools -- A collection of LaTeX API macros . lua-check-hyphen -- Mark hyphenations in a document, for checking . lua-physical -- Functions and objects for the computation of physical quantities . luatodonotes -- Add editing annotations in a LuaLaTeX document . macrolist -- List operations for LaTeX2e . macroswap -- Swap the definitions of two LaTeX macros . magaz -- Magazine layout . magicnum -- Access TeX systems' "magic numbers" . magicwatermark -- An easy and flexible way to set watermarks . mailing -- Macros for mail merging . mailmerge -- Repeating text field substitution . makebarcode -- Print various kinds 2/5 and Code 39 bar codes . makebase -- Typeset counters in a different base . makebox -- Defines a \makebox* command . makecell -- Tabular column heads and multilined cells . makecirc -- A MetaPost library for drawing electrical circuit diagrams . makecmds -- The new \makecommand command always (re)defines a command . makecookbook -- Make a Cookbook . makedtx -- Perl script to help generate dtx and ins files . makeglos -- Include a glossary into a document . makelabels -- Add a '\makelabels' feature to KOMA-Script letter classes and package . makerobust -- Making a macro robust (legacy package) . mandi -- Macros for introductory physics and astronomy . manfnt -- LaTeX support for the TeX book symbols . manuscript -- Emulate look of a document typed on a typewriter . manyind -- Provides support for many indexes . marginfit -- Improved margin notes . marginfix -- Patch \marginpar to avoid overfull margins . marginnote -- Notes in the margin, even where \marginpar fails . markdown -- Converting and rendering markdown documents inside TeX . mathalpha -- General package for loading maths alphabets in LaTeX . mathastext -- Use the text font in maths mode . mathexam -- Package for typesetting exams . mathfam256 -- Extend math family up to 256 for pLaTeX/upLaTeX/Lamed . mathfont -- Use TrueType and OpenType fonts in math mode . mathgreeks -- Use different Greek letter fonts in math mode . maybemath -- Make math bold or italic according to context . mcaption -- Put captions in the margin . mceinleger -- Creating covers for music cassettes . mcexam -- Create randomized Multiple Choice questions . mcite -- Multiple items in a single citation . mciteplus -- Enhanced multiple citations . mdframed -- Framed environments that can split at page boundaries . media4svg -- Multimedia inclusion for the dvisvgm backend . media9 -- Multimedia inclusion package with Adobe Reader-9/X compatibility . medstarbeamer -- Beamer document class for MedStar Health Research Institute . meetingmins -- Format written minutes of meetings . memexsupp -- Experimental memoir support . memory -- Containers for data in LaTeX . mensa-tex -- Typeset simple school cafeteria menus . menu -- Typesetting menus . menucard -- Typesetting menu cards with LaTeX . menukeys -- Format menu sequences, paths and keystrokes from lists . metalogox -- Adjust TeX logos, with font detection . metanorma -- Write Metanorma standardization documents using LaTe . metastr -- Store and compose strings . method -- Typeset method and variable declarations . metre -- Support for the work of classicists . mfirstuc -- Uppercase the first letter of a word . mftinc -- Pretty-print Metafont source . mi-solns -- Extract solutions from exercises and quizzes . midpage -- Environment for vertical centring . mindflow -- Write your ideas in a clear way . minibox -- A simple type of box for LaTeX . minidocument -- Creates miniature documents inside other LaTeX documents . minifp -- Fixed-point real computations to 8 decimals . minimalist -- Write your articles or books in a simple and clear way . minipage-marginpar -- Minipages with marginal notes . minitoc -- Produce a table of contents for each chapter, part or section . minorrevision -- Quote and refer to a manuscript for minor revisions . minted -- Highlighted source code for LaTeX . minutes -- Typeset the minutes of meetings . mla-paper -- Proper MLA formatting . mleftright -- Variants of delimiters that act as maths open/close . mlist -- Logical markup for lists . mmap -- Include CMap resources in PDF files from pdfTeX . mnotes -- Margin annotation for collaborative writing . moderncv -- A modern curriculum vitae class . modernposter -- A modern LaTeX poster theme . moderntimeline -- Timelines for use with moderncv . modref -- Customisation of cross-references in LaTeX . modroman -- Write numbers in lower case roman numerals . modular -- Relative section headings for modular documents . moloch -- Beamer theme based on Metropolis . monofill -- Alignment of plain text . moodle -- Generating Moodle quizzes via LaTeX . moreenum -- More enumeration options . morefloats -- Increase the number of simultaneous LaTeX floats . morehype -- Hypertext tools for use with LaTeX . moresize -- Allows font sizes up to 35.83pt . moreverb -- Extended verbatim . morewrites -- Always room for a new write stream . movement-arrows -- Drawing movement arrows on linguistic example sentences . movie15 -- Multimedia inclusion package . mparhack -- Work around a LaTeX bug in marginpars . mpostinl -- Embed MetaPost figures within LaTeX documents . msc -- Draw MSC diagrams . msg -- A package for LaTeX localisation . mslapa -- Michael Landy's APA citation style . mtgreek -- Use italic and upright greek letters with mathtime . multenum -- Multi-column enumerated lists . multiaudience -- Several versions of output from the same source . multibbl -- Multiple bibliographies . multicap -- Format captions inside multicols . multicolrule -- Decorative rules between columns . multidef -- Quickly define several similar macros . multienv -- Multiple environments using a "key=value" syntax . multiexpand -- Variations on the primitive command \expandafter . multifootnote -- Multiple numbers for the same footnote . multilang -- A LaTeX package for maintaining multiple translations of a document . multiple-choice -- LaTeX package for multiple-choice questions . multirow -- Create tabular cells spanning multiple rows . multitoc -- Set table of contents in multiple columns . mversion -- Keeping track of document versions . mwe -- Packages and image files for MWEs . mycv -- A list-driven CV class, allowing TikZ decorations . mylatex -- Make a format containing a document's preamble . mylatexformat -- Build a format based on the preamble of a LaTeX file . nag -- Detecting and warning about obsolete LaTeX commands . nameauth -- Name authority mechanism for consistency in body text and index . namespc -- Rudimentary C++-like namespaces in LaTeX . ncclatex -- An extended general-purpose class . ncctools -- A collection of general packages for LaTeX . needspace -- Insert pagebreak if not enough space . neoschool -- LaTeX class for teachers . nestquot -- Alternate quotes between double and single with nesting . newcommand -- Generate new LaTeX command definitions . newenviron -- Processing an environment's body . newfile -- User level management of LaTeX input and output . newlfm -- Write letters, facsimiles, and memos . newspaper -- Typeset newsletters to resemble newspapers . newunicodechar -- Definitions of the meaning of Unicode characters . newvbtm -- Define your own verbatim-like environment . newverbs -- Define new versions of \verb, including short verb versions . nextpage -- Generalisations of the page advance commands . nfssext-cfr -- Extensions to the LaTeX NFSS . nicefilelist -- Provide \listfiles alignment . niceframe -- Support for fancy frames . nicetext -- Minimal markup for simple text (Wikipedia style) and documentation . nidanfloat -- Bottom placement option for double float in two column mode (nidan-kumi) . ninecolors -- Select colors with proper WCAG color contrast . nlctdoc -- Package documentation class . noconflict -- Resolve macro name conflict between packages . nodepthtext -- Change small texts to remove the depth of the letters . noindentafter -- Prevent paragraph indentation after environments or macros . noitcrul -- Improved underlines in mathematics . nolbreaks -- No line breaks in text . nomencl -- Produce lists of symbols as in nomenclature . nomentbl -- Nomenclature typeset in a longtable . non-decimal-units -- Display and manipulate historical non-decimal units . nonfloat -- Non-floating table and figure captions . nonumonpart -- Prevent page numbers on part pages . nopageno -- No page numbers in LaTeX documents . normalcolor -- Changing \normalcolor . notebeamer -- A template for printing presentations on notepaper . notes -- Mark sections of a document . notespages -- Filling documents with notes pages and notes areas . notestex -- An all-in-one LaTeX notes package for students . notoccite -- Prevent trouble from citations in table of contents, etc . nowidow -- Avoid widows . nox -- Adaptable tables . ntheorem -- Enhanced theorem environment . numberedblock -- Print a block of code, with unique index number . numname -- Convert a number to its English expression . numprint -- Print numbers with separators and exponent if necessary . numspell -- Spelling cardinal and ordinal numbers . ocg-p -- PDF OCG support in LaTeX . ocgx -- Use OCGs within a PDF document without JavaScript . ocgx2 -- Drop-in replacement for 'ocgx' and 'ocg-p' . ocr-latex -- LaTeX support for ocr fonts . octavo -- Typeset books following classical design and layout . oldstyle -- Old style numbers in OT1 encoding . onlyamsmath -- Inhibit use of non-amsmath mathematics markup when using amsmath . opcit -- Footnote-style bibliographical references . opencolor -- Definitions from the Open Color library . optidef -- Environments for writing optimization problems . optional -- Facilitate optional printing of parts of a document . options -- Provides convenient key-value options for LaTeX package writers . orcidlink -- Insert hyperlinked ORCiD logo . orientation -- Set page orientation with dvips/Ghostscript (ps2pdf) . outline -- List environment for making outlines . outliner -- Change section levels easily . outlines -- Produce "outline" lists . outlining -- Create outlines for scientific documents . overlays -- Incremental slides . overpic -- Combine LaTeX commands over included graphics . packdoc -- Document LaTeX packages in a consistent way . padcount -- Pad numbers with arbitrary characters . pagecolor -- Interrogate page color . pagecont -- Page numbering that continues between documents . pagegrid -- Print page grid in background . pagelayout -- Layout graphic rich documents . pagenote -- Notes at end of document . pagerange -- Flexible and configurable page range typesetting . pageslts -- Variants of last page labels . palette -- Create palettes for colors and symbols that can be swapped in . pangram -- A LaTeX package for testing fonts . paper -- Versions of article class, tuned for scholarly publications . papercdcase -- Origami-style folding paper CD case . papermas -- Compute the mass of a printed version of a document . papertex -- Class for newspapers, etc . paracol -- Multiple columns with texts "in parallel" . parades -- Tabulators and space between paragraphs in galley approach . paralist -- Enumerate and itemize within paragraphs . paresse -- Define simple macros for greek letters . parnotes -- Notes after every paragraph (or elsewhere) . parsa -- A XeLaTeX package for theses and dissertations at Iranian Universities . parselines -- Apply a macro to each line of an environment . pas-cours -- Macros useful in preparing teaching material . pas-cv -- Flexible typesetting of Curricula Vitae . pas-tableur -- Create a spreadsheet layout . passopt -- Passing options to packages or classes . patch -- Patch loaded packages, etc. . patchcmd -- Change the definition of an existing command . pauldoc -- German LaTeX package documentation . pawpict -- Using graphics from PAW . pax -- Extract and reinsert PDF annotations with pdfTeX . pbalance -- Balance last page in two-column mode . pbox -- A variable-width \parbox command . pbsheet -- Problem sheet class . pdf14 -- Restore PDF 1.4 to a TeX live 2010 format . pdfannotations -- Annotate PDF slides . pdfcol -- Macros for maintaining colour stacks under pdfTeX . pdfcolmk -- Improved colour support under pdfTeX (legacy stub) . pdfcomment -- A user-friendly interface to pdf annotations . pdfcprot -- Activating and setting of character protruding using pdfLaTeX . pdfmarginpar -- Generate marginpar-equivalent PDF annotations . pdfoverlay -- A LaTeX style for overlaying text on a PDF . pdfpagediff -- Find difference between two PDF's . pdfpc -- Define data for the pdfpc presentation viewer . pdfpc-movie -- Pdfpc viewer-compatible hyperlinks to movies . pdfprivacy -- A LaTeX package to remove or suppress pdf meta-data . pdfrender -- Control rendering parameters . pdfreview -- Annotate PDF files with margin notes . pdfscreen -- Support screen-based document design . pdfslide -- Presentation slides using pdfTeX . pdfsync -- Provide links between source and PDF . pdfwin -- customizable windows for screen viewing of TeX documents . pdfx -- PDF/X and PDF/A support for pdfTeX, LuaTeX and XeTeX . pecha -- Print Tibetan text in the classic pecha layout style . pegmatch -- Parsing Expression Grammars for TeX . perltex -- Define LaTeX macros in terms of Perl code . permute -- Support for symmetric groups . petiteannonce -- A class for small advertisements . pgfmath-xfp -- Define pgfmath functions using xfp . phfcc -- Convenient inline commenting in collaborative documents . phfextendedabstract -- Typeset extended abstracts for conferences, such as often encountered in quantum information theory . phffullpagefigure -- Figures which fill up a whole page . phfnote -- Basic formatting for short documents . phfparen -- Parenthetic math expressions made simpler and less redundant . phfqit -- Macros for typesetting Quantum Information Theory . phfquotetext -- Quote verbatim text without white space formatting . phfsvnwatermark -- Watermarks with version control information from SVN . phfthm -- Goodies for theorems and proofs . philex -- Cross references for named and numbered environments . phonenumbers -- Typesetting telephone numbers with LaTeX . photo -- A float environment for photographs . photobook -- A document class for typesetting photo books . picture -- Dimens for picture macros . piff -- Macro tools by Mike Piff . pkgloader -- Manage the options and loading order of other packages . placeins -- Control float placement . plantslabels -- Write labels for plants . plates -- Arrange for "plates" sections of documents . plweb -- Literate Programming for Prolog with LaTeX . pmboxdraw -- Poor man's box drawing characters . polynom -- Macros for manipulating polynomials . polynomial -- Typeset (univariate) polynomials . polytable -- Tabular-like environments with named columns . postcards -- Facilitates mass-mailing of postcards (junkmail) . poster-mac -- Make posters and banners with TeX . postnotes -- Endnotes for LaTeX . powerdot -- A presentation class . ppr-prv -- Prosper preview . ppt-slides -- Good-looking slide decks a la PowerPoint (PPT) . practicalreports -- Some macros for writing practical reports . precattl -- Prepare special catcodes from token list . prelim2e -- Allows the marking of preliminary versions of a document . preprint -- A bundle of packages provided "as is" . pressrelease -- A class for typesetting press releases . prettyref -- Make label references "self-identify" . prettytok -- Pretty-print token lists . printlen -- Print lengths using specified units . probsoln -- Generate problem sheets and their solution sheets . profmaquette -- Use exercises in different types of documents . program -- Typesetting programs and algorithms . progress -- Creates an overview of a document's state . progressbar -- Visualize shares of total amounts in the form of a (progress-)bar . projlib -- A collection of facilitative tools . pronunciation -- American English Pronunciation . proofread -- Commands for inserting annotations . properties -- Load properties from a file . prosper -- LaTeX class for high quality slides . protex -- Literate programming package . protocol -- A class for minutes of meetings . psfragx -- A psfrag eXtension . pstool -- Support for psfrag within pdfLaTeX . pstring -- Typeset sequences with justification pointers . pxgreeks -- Shape selection for PX fonts Greek letters . pygmentex -- Use Pygments to format code listings in documents . pynotebook -- pynotebook presents (raw, Markdown or Python) codes (and execution with LuaLaTeX) as in a Jupyter Notebook . python -- Embed Python code in LaTeX . pythonimmediate -- Library to run Python code . q-and-a -- Typesetting Q&A-style conversation made easier . qcm -- A LaTeX2e class for making multiple choice questionnaires . qstest -- Bundle for unit tests and pattern matching . qsymbols -- Maths symbol abbreviations . quicktype -- LaTeX package for quick typesetting . quiz2socrative -- Prepare questions for socrative quizzes . quotchap -- Decorative chapter headings . quoting -- Consolidated environment for displayed text . quotmark -- Consistent quote marks . ran_toks -- Randomise token strings . randexam -- Make an exam paper and its randomized variants . randintlist -- Creating random integer number lists , with repeating and sorting options . randtext -- Randomise the order of characters in strings . rccol -- Decimal-centered optionally rounded numbers in tabular . rcs-multi -- Typeset RCS version control in multiple-file documents . rcsinfo -- Support for the revision control system . readablecv -- A highly readable and good looking CV and letter class . readarray -- Read, store and recall array-formatted data . realboxes -- Variants of common box-commands that read their content as real box and not as macro argument . recipe -- A LaTeX class to typeset recipes . recipebook -- Typeset 5.5" x 8" recipes for browsing or printing . recipecard -- Typeset recipes in note-card-sized boxes . rectopma -- Recycle top matter . refcheck -- Check references (in figures, table, equations, etc) . refenums -- Define named items and provide back-references with that name . reflectgraphics -- Techniques for reflecting graphics . refman -- Format technical reference manuals . refstyle -- Advanced formatting of cross references . regcount -- Display the allocation status of the TeX registers . regexpatch -- High level patching of commands . register -- Typeset programmable elements in digital hardware (registers) . regstats -- Information about register use . relenc -- A "relaxed" font encoding . relsize -- Set the font size relative to the current font size . repeatindex -- Repeat items in an index after a page or column break . repltext -- Control how text gets copied from a PDF file . rescansync -- Re-scan tokens with synctex information . resmes -- Measure restriction symbol in LaTeX . responsive -- Responsive design methods for LaTeX . returntogrid -- Semi-automatic grid typesetting . rgltxdoc -- Common code for documentation of the author's packages . rjlparshap -- Support for use of \parshape in LaTeX . rlepsf -- Rewrite labels in EPS graphics . rmpage -- A package to help change page layout parameters in LaTeX . robust-externalize -- Cache anything (TikZ, python, ...) in a robust, efficient and pure way . robustcommand -- Declare robust command, with \newcommand checks . robustindex -- Create index with pagerefs . romanbar -- Write roman number with "bars" . romanbarpagenumber -- Typesetting roman page numbers . romanneg -- Roman page numbers negative . romannum -- Generate roman numerals instead of arabic digits . rorlink -- Create ROR symbols which links to the given ROR-IDs . rotfloat -- Rotate floats . rotpages -- Typeset sets of pages upside-down and backwards . roundbox -- Round boxes in LaTeX . rterface -- Access to R analysis from within a document . rtkinenc -- Input encoding with fallback procedures . rulerbox -- Draw rulers around a box . rulercompass -- A TikZ library for straight-edge and compass diagrams . runcode -- Execute foreign source code and embed the result in the pdf file . rvwrite -- Increase the number of available output streams in LaTeX . sanitize-umlaut -- Sanitize umlauts for MakeIndex and pdfLaTeX . sauerj -- A bundle of utilities by Jonathan Sauer . saveenv -- Save environment content verbatim . savefnmark -- Save name of the footnote mark for reuse . savesym -- Redefine symbols where names conflict . savetrees -- Optimise the use of each page of a LaTeX document . scale -- Scale document by sqrt(2) or magstep(2) . scalebar -- Create scalebars for maps, diagrams or photos . scalerel -- Constrained scaling and stretching of objects . scaletextbullet -- Resize the \textbullet without changing its vertical center . scanpages -- Support importing and embellishing scanned documents . schedule -- Weekly schedules . schooldocs -- Various layout styles for school documents . scontents -- Stores LaTeX contents in memory or files . scrambledenvs -- Create and print scrambled environments . scrhack -- Compatibility package to emulate the former KOMA-Script package scrhack . scrlayer-fancyhdr -- Combining fancyhdr with KOMA-Script's scrlayer . scrlttr2copy -- A letter class option file for the automatic creation of copies . scrwfile -- Use LaTeX .aux file in place of \newrite files . sdaps -- LaTeX support files for SDAPS . sdrt -- Macros for Segmented Discourse Representation Theory . secdot -- Section numbers with trailing dots . secnum -- A macro to format section numbering intuitively . sectionbox -- Create fancy boxed ((sub)sub)sections . sectionbreak -- LaTeX support for section breaks . sectsty -- Control sectional headers . seealso -- Improve the performance of \see macros with makeindex . selectp -- Select pages to be output . selinput -- Semi-automatic detection of input encoding . semantex -- Semantic, keyval-based mathematics . semantic -- Help for writing programming language semantics . semantic-markup -- Meaningful semantic markup in the spirit of the Text Encoding Initiative . semesterplanner -- Create beautiful semester timetables and more . semioneside -- Put only special contents on left-hand pages in two sided layout . semproc -- Seminar proceedings . semtex -- Deals with stripped SemanTeX documents . sepfootnotes -- Support footnotes and endnotes from separate files . seqsplit -- Split long sequences of characters in a neutral way . sesstime -- Session and timing information in lecture notes . setspaceenhanced -- An enhancement of the setspace package . sf298 -- Standard form 298 . sffms -- Typesetting science fiction/fantasy manuscripts . sfmath -- Sans-serif mathematics . shadethm -- Theorem environments that are shaded . shadow -- Shadow boxes . shadowtext -- Produce text with a shadow behind it . shapepar -- A macro to typeset paragraphs in specific shapes . shdoc -- Float environment to document the shell commands of a terminal session . shipunov -- A collection of LaTeX packages and classes . shorttoc -- Table of contents with different depths . show2e -- Variants of \show for LaTeX2e . showcharinbox -- Show characters inside a box . showdim -- Variants on printing dimensions . showexpl -- Typesetting LaTeX source code . showlabels -- Show label commands in the margin . sidecap -- Typeset captions sideways . sidenotes -- Typeset notes containing rich content, in the margin . sidenotesplus -- Place referenced notes, alerts, figures and tables into the document margin . silence -- Selective filtering of error messages and warnings . sillypage -- John Cleese's Silly Walk as page numbering style . sim-os-menus -- Insert 'terminal' or 'context menu' or 'viewers' like in an OS . simplecd -- Simple CD, DVD covers for printing . simplecv -- A simple class for writing curricula vitae . simpleinvoice -- Easy typesetting of invoices . simplivre -- Write your books in a simple and clear way . sitem -- Save the optional argument of \item . skb -- Tools for a repository of long-living documents . skdoc -- Documentation and extraction for packages and document classes . skeldoc -- Placeholders for unfinished documents . skeycommand -- Create commands using parameters and keyval in parallel . skeyval -- Key-value parsing combining features of xkeyval and pgfkeys . skills -- Create proficiency tests . skrapport -- 'Simple' class for reports, etc. . slantsc -- Access different-shaped small-caps fonts . smalltableof -- Create listoffigures etc. in a single chapter . smart-eqn -- Automatic math symbol styling for LaTeX documents . smartref -- Extend LaTeX's \ref capability . smartunits -- Converting between common metric and Imperial units . snapshot -- List the external dependencies of a LaTeX document . snaptodo -- A todo that snaps to the closer side . snotez -- Typeset notes, in the margin . soulpos -- A fancy means of underlining . spacingtricks -- Addressing various spacing issues . spark-otf -- Support OpenType Spark fonts . sparklines -- Drawing sparklines: intense, simple, wordlike graphics . spelatex -- Create PDF documents with hyperlinks to audiofragments . sphack -- Patch LaTeX kernel spacing macros . splitindex -- Unlimited number of indexes . spot -- Spotlight highlighting for Beamer . spotcolor -- Spot colours for pdfLaTeX . spreadtab -- Spreadsheet features for LaTeX tabular environments . spverbatim -- Allow line breaks within \verb and verbatim output . srbook-mem -- Support for use of memoir in Serbian . srcltx -- Jump between DVI and TeX files . sseq -- Typesetting spectral sequence charts . sslides -- Slides with headers and footers . stack -- Tools to define and use stacks . stackengine -- Highly customised stacking of objects, insets, baseline changes, etc . standalone -- Compile TeX pictures stand-alone or as part of a document . standardsectioning -- Define sectioning commands identical to the standard classes . starray -- A structured array (of properties) based on expl3 . stdclsdv -- Provide sectioning information for package writers . stdpage -- Standard pages with n lines of at most m characters each . stealcaps -- "Steal" small capitals . stex -- An infrastructure for semantic preloading of LaTeX documents . stocksize -- A flexible and easy interface to paper (stock) dimensions . storebox -- Storing information for reuse . storecmd -- Store the name of a defined command in a container . stringstrings -- String manipulation for cosmetic and programming application . sttools -- Various macros . stubs -- Create tear-off stubs at the bottom of a page . studenthandouts -- Management and styling of student handout projects . styledcmd -- Handling multiple versions of user-defined macros . subdepth -- Unify maths subscript height . subdocs -- Multifile documents . subeqn -- Package for subequation numbering . subeqnarray -- Equation array with sub numbering . subfigmat -- Automates layout when using the subfigure package . subfigure -- Deprecated: Figures divided into subfigures . subfiles -- Individual typesetting of subfiles of a "main" document . subfloat -- Sub-numbering for figures and tables . substr -- Deal with substrings in strings . supertabular -- A multi-page tables package . suppose -- Abbreviate the word "Suppose" . svg -- Include and extract SVG pictures in LaTeX documents . svgcolor -- Define SVG named colours . svn -- Typeset Subversion keywords . svn-multi -- Subversion keywords in multi-file LaTeX documents . svn-prov -- Subversion variants of \Provides... macros . svninfo -- Typeset Subversion keywords . swfigure -- Insert large images that do not fit into a single page . swungdash -- Typeset a swung dash in LaTeX . syntax -- Creation of syntax diagrams . synthslant -- Synthetically slant text . syntrace -- Labels for tracing in a syntax tree . synttree -- Typeset syntactic trees . tabbing -- Tabbing with accented letters . tabfigures -- Maintain vertical alignment of figures . tableaux -- Construct tables of signs and variations . tablefootnote -- Permit footnotes in tables . tableof -- Tagging tables of contents . tablists -- Tabulated lists of short items . tabls -- Better vertical spacing in tables and arrays . tablvar -- Typesetting pretty tables of signs and variations according to French usage . tabstackengine -- "Tabbing" front-end to stackengine . tabto-ltx -- "Tab" to a measured position in the line . tabu -- Flexible LaTeX tabulars . tabularborder -- Remove excess space at left and right of tabular . tabularcalc -- Calculate formulas in a tabular environment . tabularew -- A variation on the tabular environment . tabularray -- Typeset tabulars and arrays with LaTeX3 . tabulary -- Tabular with variable width columns balanced . tagging -- Document configuration with tags . tagpair -- Word-by-word glosses, translations, and bibliographic attributions . tagpdf -- Code for PDF tagging using pdfLaTeX and LuaLaTeX . talk -- A LaTeX class for presentations . tamefloats -- Experimentally use \holdinginserts with LaTeX floats . tangocolors -- Use colors from the Tango color palette . tasks -- Horizontally columned lists . tblr-extras -- Extra libraries for tabularray for caption and babel compatibility . tcldoc -- Doc/docstrip for tcl . tcolorbox -- Coloured boxes, for LaTeX examples and theorems, etc . tdclock -- A ticking digital clock package for PDF output . technics -- A package to format technical documents . ted -- A (primitive) token list editor . telprint -- Format German phone numbers . templatetools -- Commands useful in LaTeX templates . termcal -- Print a class calendar . termlist -- Label any kind of term with a continuous counter . termsim -- Simulate Win10, Ubuntu, and Mac terminals . testhyphens -- Testing hyphenation patterns . testidx -- Dummy text for testing index styles and indexing applications . tex-label -- Place a classification on each page of a document . tex-locale -- Localisation support for TeX and LaTeX documents . texlogos -- Ready-to-use LaTeX logos . texmate -- Comprehensive chess annotation in LaTeX . texments -- Using the Pygments highlighter in LaTeX . texpower -- Create dynamic online presentations with LaTeX . texshade -- Package for setting nucleotide and peptide alignments . texsurgery -- A LaTeX companion to the "texsurgery" python project . textcsc -- Simple commands for caps-to-small-caps text . textfit -- Fit text to a desired size . textmerg -- Merge text in TeX and LaTeX . textpos -- Place boxes at arbitrary positions on the LaTeX page . textualicomma -- Use the textual comma character as decimal separator in math mode . texvc -- Use MediaWiki LaTeX commands . theoremref -- References with automatic theorem names . thinsp -- A stretchable \thinspace for LaTeX . threadcol -- Organize document columns into PDF "article thread" . threeparttable -- Tables with captions and notes all the same width . threeparttablex -- Notes in longtables . thumb -- Thumb marks in documents . thumbs -- Create thumb indexes . thumby -- Create thumb indexes for printed books . ticket -- Make labels, visiting-cards, pins with LaTeX . timeop -- Calculates and displays arithmetic operations with durations . tipauni -- Producing Unicode characters with TIPA commands . titlecaps -- Setting rich-text input into Titling Caps . titlefoot -- Add special material to footer of title page . titlepic -- Add picture to title page of a document . titleref -- A "\titleref" command to cross-reference section titles . titlesec -- Select alternative section titles . titling -- Control over the typesetting of the \maketitle command . to-be-determined -- Highlight text passages that need further work . tocbibind -- Add bibliography/index/contents to Table of Contents . tocdata -- Adds names to chapters, sections, figures in the TOC and LOF . tocloft -- Control table of contents, figures, etc . tocvsec2 -- Section numbering and table of contents control . todo -- Make a to-do list for a document . todonotes -- Marking things to do in a LaTeX document . tokcycle -- Build tools to process tokens from an input stream . tokenizer -- A tokenizer . toolbox -- Tool macros . topfloat -- Move floats to the top of the page . topiclongtable -- Extend longtable with cells that merge hierarchically . totalcount -- Commands for typesetting total values of counters . totcount -- Find the last value of a counter . totpages -- Count pages in a document, and report last page number . tramlines -- A package for creating tramlines (lines above and below a title used by lawyers in the UK) . translations -- Internationalisation of LaTeX2e packages . transparent -- Using a color stack for transparency with pdfTeX . treesvr -- Tree macros . trfsigns -- Typeset transform signs . trimspaces -- Trim spaces around an argument or within a macro . trivfloat -- Quick float definitions in LaTeX . trsym -- Symbols for transformations . truncate -- Truncate text to a specified width . tucv -- Support for typesetting a CV or resumee . turnthepage -- Provide "turn page" instructions . tutodoc -- Typeset tutorial-like documentations . twoinone -- Print two pages on a single page . twoup -- Print two virtual pages on each physical page . txgreeks -- Shape selection for TX fonts Greek letters . type1cm -- Arbitrary size font selection in LaTeX . typed-checklist -- Typesetting tasks, goals, milestones, artifacts, and more in LaTeX . typeface -- Select a balanced set of fonts . typoaid -- Macros for font diagnostics . typog -- Typographic fine-tuning and micro-typographic enhancements . typogrid -- Print a typographic grid . uassign -- Environments and options for typesetting university assignments . ucs -- Extended UTF-8 input encoding support for LaTeX . uebungsblatt -- A LaTeX class for writing exercise sheets . umoline -- Underline text allowing line breaking . underlin -- Underlined running heads . underoverlap -- Position decorations over and under expressions . undolabl -- Override existing labels . uni-titlepage -- Universal titlepages with configuration options and predefined styles . unicode-math-input -- Allow entering Unicode symbols in math formulas . unicodefonttable -- A Unicode font table generator . unifront -- Give notes a unique front page for every chapter and section . unisc -- Unicode small caps with Lua/XeLaTeX . unitconv -- Convert a length into one with another unit . units -- Typeset units . unravel -- Watching TeX digest tokens . updatemarks -- Extract and update marks from boxes . upmethodology -- Writing specifications such as for UP-based methodologies . upquote -- Show "realistic" quotes in verbatim . uri -- Hyperlinks for a wide range of URIs . useclass -- Load classes as packages . ushort -- Shorter (and longer) underlines and underbars . uspace -- Giving meaning to various Unicode space characters . utf8add -- Additional support for UTF-8 encoded LaTeX input . uwmslide -- Slides with a simple Power Point like appearance . variablelm -- Font definitions for the variable Latin Modern fonts . varindex -- Luxury frontend to the \index command . varsfromjobname -- Extract variables from the name of the LaTeX file . varwidth -- A variable-width minipage . vcell -- Vertical alignment of content inside table cells . vdmlisting -- Typesetting VDM in ASCII syntax . verbasef -- VERBatim Automatic Splitting of External Files . verbatimbox -- Deposit verbatim text in a box . verbatimcopy -- Make copies of text documents from within LaTeX . verbdef -- Define commands which expand to verbatim text . verbments -- Syntax highlighting of source code in LaTeX documents . verifiche -- A LaTeX package to typeset (Italian) high school tests . verifycommand -- Verifies definitions are unchanged, such as before patching . version -- Conditionally include text . versions -- Optionally omit pieces of text . versonotes -- Display brief notes on verso pages . vertbars -- Mark vertical rules in margin of text . vgrid -- Overlay a grid on the printed page . vhistory -- Support for creating a change log . vmargin -- Set various page dimensions . volumes -- Typeset only parts of a document, with complete indexes etc . vpe -- Source specials for PDF output . vruler -- Numbering text . vtable -- Vertical alignement of table cells . vwcol -- Variable-width multiple text columns . wallcalendar -- A wall calendar class with custom layouts . wallpaper -- Easy addition of wallpapers (background images) to LaTeX documents, including tiling . warning -- Global warnings at the end of the logfile . warpcol -- Relative alignment of rows in numeric columns in tabulars . was -- A collection of small packages by Walter Schmidt . webquiz -- Write interactive web based quizzes . whatsnote -- A LaTeX class designed for taking notes or writing books . widetable -- An environment for typesetting tables of specified width . widows-and-orphans -- Identify (typographic) widows and orphans . williams -- Miscellaneous macros by Peter Williams . willowtreebook -- Easy basic book class, built on memoir . withargs -- In-place argument substitution . wordcount -- Estimate the number of words in a LaTeX document . wordlike -- Simulating word processor layout . worksheet -- Easy creation of worksheets . wrapfig -- Produces figures which text can flow around . wrapfig2 -- Wrap text around figures . wrapstuff -- Wrapping text around stuff . writeongrid -- Write on grid lines . wtref -- Extend LaTeX's cross-reference system . xargs -- Define commands with many optional arguments . xassoccnt -- Associated counters stepping simultaneously . xbmks -- Create a cross-document bookmark tree . xcntperchap -- Track the number of subsections etc. that occur in a specified tracklevel . xcolor-material -- Defines the 256 colors from Google Material Color Palette . xcolor-solarized -- Defines the 16 colors from Ethan Schoonover's Solarized palette . xcomment -- Allows selected environments to be included/excluded . xcookybooky -- Typeset (potentially long) recipes . xcpdftips -- Natbib citations with PDF tooltips . xdoc -- Extending the LaTeX doc system . xellipsis -- Extremely configurable ellipses with formats for various style manuals . xfakebold -- Fake a regular font for bold characters . xfor -- A reimplementation of the LaTeX for-loop macro . xhfill -- Extending \hrulefill . xifthen -- Extended conditional commands . ximera -- Write online interactive content in LaTeX . xint -- Expandable operations on long numbers . xint-regression -- Classic regressions, with xint . xkcdcolors -- xkcd names of colors . xkeymask -- An extension of xkeyval with a mask . xltabular -- Longtable support with possible X-column specifier . xmpincl -- Include eXtensible Metadata Platform data in pdfLaTeX . xnewcommand -- Define \global and \protected commands with \newcommand . xoptarg -- Expandable macros that take an optional argument . xpatch -- Extending etoolbox patching commands . xpeek -- Define commands that peek ahead in the input stream . xprintlen -- Print TeX lengths in a variety of units . xpunctuate -- Process trailing punctuation which may be redundant . xreview -- Reviewing LaTeX documents made easy(er) . xsavebox -- Saveboxes for repeating content without code replication, based on PDF Form XObjects . xsim -- eXercise Sheets IMproved . xstring -- String manipulation for (La)TeX . xtab -- Break tables across pages . xurl -- Allow URL breaks at any alphanumerical character . xwatermark -- Graphics and text watermarks on selected pages . xytree -- Tree macros using XY-Pic . yafoot -- A bundle of miscellaneous footnote packages . yagusylo -- A symbol loader . yaletter -- Extremely flexible macros for letters, envelopes, and label sheets . ycbook -- A versatile book class . ydoc -- Macros for documentation of LaTeX classes and packages . yplan -- Daily planner type calendar . zebra-goodies -- A collection of handy macros for paper writing . zed-csp -- Typesetting Z and CSP format specifications . zennote -- Streamline your note-taking process! . ziffer -- Conversion of punctuation in maths mode . zref -- A new reference scheme for LaTeX . zref-check -- Flexible cross-references with contextual checks based on zref . zref-clever -- Clever LaTeX cross-references based on zref . zref-vario -- Extended LaTeX page cross-references with varioref and zref-clever . zugferd -- ZUGFeRD and Faktur-X invoicing using LaTeX . zwgetfdate -- Get package or file date . zwpagelayout -- Page layout and crop-marks Package: texlive-latex-extra-doc Description-md5: 5ac6c6c9a0c0f6fddfd3fc657d09c522 Description-en: TeX Live: Documentation files for texlive-latex-extra This package provides the documentation for texlive-latex-extra Package: texlive-latex-recommended Description-md5: deb3d785de36101cf6b1e6118c6091d6 Description-en: TeX Live: LaTeX recommended packages A collection of recommended add-on packages for LaTeX which have widespread use. . This package includes the following CTAN packages: . anysize -- A simple package to set up document margins . attachfile2 -- Attach files into PDF . beamer -- A LaTeX class for producing presentations and slides . booktabs -- Publication quality tables in LaTeX . breqn -- Automatic line breaking of displayed equations . caption -- Customising captions in floating environments . cite -- Improved citation handling in LaTeX . cmap -- Make PDF files searchable and copyable . crop -- Support for cropmarks . ctable -- Flexible typesetting of table and figure floats using key/value directives . eso-pic -- Add picture commands (or backgrounds) to every page . euenc -- Unicode font encoding definitions for XeTeX . euler -- Use AMS Euler fonts for math . everysel -- Provides hooks into \selectfont . everyshi -- Take action at every \shipout . extsizes -- Extend the standard classes' size options . fancybox -- Variants of \fbox and other games with boxes . fancyref -- A LaTeX package for fancy cross-referencing . fancyvrb -- Sophisticated verbatim text . filehook -- Hooks for input files . float -- Improved interface for floating objects . fontspec -- Advanced font selection in XeLaTeX and LuaLaTeX . footnotehyper -- hyperref aware footnote.sty . fp -- Fixed point arithmetic . grffile -- Extended file name support for graphics (legacy package) . hologo -- A collection of logos with bookmark support . index -- Extended index for LaTeX including multiple indexes . jknapltx -- Miscellaneous packages by Joerg Knappen . koma-script -- A bundle of versatile classes and packages . l3experimental -- Experimental LaTeX3 concepts . latexbug -- Bug-classification for LaTeX related bugs . lineno -- Line numbers on paragraphs . listings -- Typeset source code listings using LaTeX . lwarp -- Converts LaTeX to HTML . mathspec -- Specify arbitrary fonts for mathematics in XeTeX . mathtools -- Mathematical tools to use with amsmath . mdwtools -- Miscellaneous tools by Mark Wooding . memoir -- Typeset fiction, non-fiction and mathematical books . metalogo -- Extended TeX logo macros . microtype -- Subliminal refinements towards typographical perfection . newfloat -- Define new floating environments . ntgclass -- "European" versions of standard classes . parskip -- Layout with zero \parindent, non-zero \parskip . pdfcolfoot -- Separate color stack for footnotes with pdfTeX . pdflscape -- Make landscape pages display as landscape . pdfmanagement-testphase -- LaTeX PDF management testphase bundle . pdfpages -- Include PDF documents in LaTeX . polyglossia -- An alternative to babel for XeLaTeX and LuaLaTeX . psfrag -- Replace strings in encapsulated PostScript figures . ragged2e -- Alternative versions of "ragged"-type commands . rcs -- Use RCS (revision control system) tags in LaTeX documents . sansmath -- Maths in a sans font . section -- Modifying section commands in LaTeX . seminar -- Make overhead slides . sepnum -- Print numbers in a "friendly" format . setspace -- Set space between lines . subfig -- Figures broken into subfigures . textcase -- Case conversion ignoring mathematics, etc . thumbpdf -- Thumbnails for pdfTeX and dvips/ps2pdf . translator -- Easy translation of strings in LaTeX . typehtml -- Typeset HTML directly from LaTeX . ucharcat -- Implementation of the (new in 2015) XeTeX \Ucharcat command in lua, for LuaTeX . underscore -- Control the behaviour of "_" in text . unicode-math -- Unicode mathematics support for XeTeX and LuaTeX . xcolor -- Driver-independent color extensions for LaTeX and pdfLaTeX . xfrac -- Split-level fractions . xkeyval -- Extension of the keyval package . xltxtra -- "Extras" for LaTeX users of XeTeX . xunicode -- Generate Unicode characters from accented glyphs Package: texlive-latex-recommended-doc Description-md5: baa8291c3456557519fe1fb86023b2b8 Description-en: TeX Live: Documentation files for texlive-latex-recommended This package provides the documentation for texlive-latex-recommended Package: texlive-luatex Description-md5: f0bf81cc452490b024c898fee2f8e463 Description-en: TeX Live: LuaTeX packages Packages for LuaTeX, a TeX engine using Lua as an embedded scripting and extension language, with native support for Unicode, OpenType/TrueType fonts, and both PDF and DVI output. The LuaTeX engine itself (and plain formats) are in collection-basic. . This package includes the following CTAN packages: . addliga -- Access basic ligatures in legacy TrueType fonts . addtoluatexpath -- Add paths to Lua packages and input TeX files . auto-pst-pdf-lua -- Using LuaLaTeX together with PostScript code . barracuda -- Draw barcodes with Lua . bezierplot -- Approximate smooth function graphs with cubic bezier splines for use with TikZ or MetaPost . blopentype -- A basic LuaTeX OpenType handler . checkcites -- Check citation commands in a document . chickenize -- Use lua callbacks for "interesting" textual effects . chinese-jfm -- Luatexja-jfm files for Chinese typesetting . cloze -- A LuaLaTeX package for creating cloze texts . combofont -- Add NFSS-declarations of combo fonts to LuaLaTeX documents . cstypo -- Czech typography rules enforced through LuaTeX hooks . ctablestack -- Catcode table stable support . ekdosis -- Typesetting TEI-xml compliant Critical Editions . emoji -- Emoji support in (Lua)LaTeX . emojicite -- Add emojis to citations . enigma -- Encrypt documents with a three rotor Enigma . gitinfo-lua -- Display git project information in your LaTeX projects . ideavault -- Idea (concept) management package . innerscript -- Small modifications to math formatting . interpreter -- Translate input files on the fly . kanaparser -- Kana parser for LuaTeX . ligtype -- Comprehensive ligature suppression functionalities . linebreaker -- Prevent overflow boxes with LuaLaTeX . longmath -- Nested delimiter groups extending over multiple array cells or lines . lparse -- A Lua module for parsing key-value options . lt3luabridge -- Execute Lua code in any TeX engine that exposes the shell . lua-placeholders -- Specifying placeholders for demonstration purposes . lua-tinyyaml -- A tiny YAML (subset) parser in pure Lua . lua-typo -- Highlighting typographical flaws with LuaLaTeX . lua-uca -- Unicode Collation Algorithm library for Lua . lua-ul -- Underlining for LuaLaTeX . lua-uni-algos -- Unicode algorithms for LuaTeX . lua-visual-debug -- Visual debugging with LuaLaTeX . lua-widow-control -- Automatically remove widows and orphans from any document . luaaddplot -- An extension to pgfplots' \addplot macro . luacas -- A computer algebra system for users of LuaLaTeX . luacensor -- Securely redact sensitive information using Lua . luacode -- Helper for executing lua code from within TeX . luacolor -- Color support based on LuaTeX's node attributes . luacomplex -- Operations on complex numbers inside LaTeX documents using Lua . luagcd -- Computation of gcd of integers inside LaTeX using Lua . luahttp -- Compile-time internet-interactive PDF-documents using Lua and LuaTeX . luahyphenrules -- Loading patterns in LuaLaTeX with language.dat . luaimageembed -- Embed images as base64-encoded strings . luaindex -- Create index using LuaLaTeX . luainputenc -- Replacing inputenc for use in LuaTeX . luakeys -- A Lua module for parsing key-value options . lualatex-math -- Fixes for mathematics-related LuaLaTeX issues . lualatex-truncate -- A wrapper for using the truncate package with LuaLaTeX . lualibs -- Additional Lua functions for LuaTeX macro programmers . lualinalg -- A linear algebra package for LuaLaTeX . luamathalign -- More flexible alignment in amsmath environments . luamaths -- Provide standard mathematical operations inside LaTeX documents using Lua . luamml -- Automatically generate MathML from LuaLaTeX math mode material . luamodulartables -- Generate modular addition and multiplication tables . luamplib -- Use LuaTeX's built-in MetaPost interpreter . luaoptions -- Option handling for LuaLaTeX packages . luaotfload -- OpenType 'loader' for Plain TeX and LaTeX . luapackageloader -- Allow LuaTeX to load external Lua packages . luaplot -- Plotting graphs using Lua . luaprogtable -- Programmable table interface for LuaLaTeX . luaquotes -- Smart setting of quotation marks . luarandom -- Create lists of random numbers . luaset -- Set Operations inside LaTeX documents using Lua . luatbls -- Lua tables made accessible in LaTeX . luatex85 -- pdfTeX aliases for LuaTeX . luatexbase -- Basic resource management for LuaTeX code . luatexko -- Typeset Korean with Lua(La)TeX . luatextra -- Additional macros for Plain TeX and LaTeX in LuaTeX . luatikz -- A 2D graphics library to draw TikZ graphics using the Lua programming language . luatruthtable -- Generate truth tables of boolean values in LuaLaTeX . luavlna -- Prevent line breaks after single letter words, units, or academic titles . luaxml -- Lua library for reading and serialising XML files . lutabulartools -- Some useful LuaLaTeX-based tabular tools . marginalia -- Non-floating marginal content with automatic placement for LuaLaTeX . minim -- A modern plain format for the LuaTeX engine . minim-math -- Extensive maths for LuaTeX . minim-mp -- Low-level mplib integration for LuaTeX . minim-pdf -- Low-level PDF integration for LuaTeX . minim-xmp -- Embed XMP metadata in PDF with LuaTeX . newpax -- Experimental package to extract and reinsert PDF annotations . nodetree -- Visualize node lists in a tree view . odsfile -- Read OpenDocument Spreadsheet documents as LaTeX tables . optex -- LuaTeX format based on Plain TeX and OPmac . pdfarticle -- Class for pdf publications . pdfextra -- Extra PDF features for (Op)TeX . penlight -- Penlight Lua libraries made available to LuaLaTeX users . penlightplus -- Additions to the Penlight Lua libraries . piton -- Typeset informatic listings with LPEG of LuaLaTeX . placeat -- Absolute content positioning . plantuml -- Support for rendering UML diagrams using PlantUML . pyluatex -- Execute Python code on the fly in your LaTeX documents . scikgtex -- Mark research contributions in scientific documents and embed them in PDF metadata . selnolig -- Selectively disable typographic ligatures . semesterplannerlua -- Draw timetables and other organizational matters useful for planning a semester . showhyphenation -- Marking of hyphenation points . showkerning -- Showing kerns in a document . spacekern -- Kerning between words and against space . spelling -- Support for spell-checking of LuaTeX documents . stricttex -- Strictly balanced brackets and numbers in command names . sympycalc -- Work with SymPy and PyLuaTeX . tango -- A LaTeX document class for math teachers . texfindpkg -- Query or install TeX packages and their dependencies . truthtable -- Automatically generate truth tables for given variables and statements . tsvtemplate -- Apply a template to a tsv file . typewriter -- Typeset with a randomly variable monospace font . unibidi-lua -- Unicode bidi algorithm implementation for various LuaTeX formats . uninormalize -- Unicode normalization support . yamlvars -- A YAML parser and tool for easy LaTeX definition creation Package: texlive-metapost Description-md5: b560d0ae6b3e90d86f520a0e201d6a52 Description-en: TeX Live: MetaPost and Metafont packages This package includes the following CTAN packages: . automata -- Finite state machines, graphs and trees in MetaPost . bbcard -- Bullshit bingo, calendar and baseball-score cards . blockdraw_mp -- Block diagrams and bond graphs, with MetaPost . bpolynomial -- Drawing polynomial functions of up to order 3 . cmarrows -- MetaPost arrows and braces in the Computer Modern style . drv -- Derivation trees with MetaPost . dviincl -- Include a DVI page into MetaPost output . emp -- "Encapsulate" MetaPost figures in a document . epsincl -- Include EPS in MetaPost figures . expressg -- Diagrams consisting of boxes, lines, and annotations . exteps -- Include EPS figures in MetaPost . featpost -- MetaPost macros for 3D . feynmp-auto -- Automatic processing of feynmp graphics . fiziko -- A MetaPost library for physics textbook illustrations . garrigues -- MetaPost macros for the reproduction of Garrigues' Easter nomogram . gmp -- Enable integration between MetaPost pictures and LaTeX . hatching -- MetaPost macros for hatching interior of closed paths . hershey-mp -- MetaPost support for the Hershey font file format . huffman -- Drawing binary Huffman trees with MetaPost and METAOBJ . latexmp -- Interface for LaTeX-based typesetting in MetaPost . mcf2graph -- Draw chemical structure diagrams with MetaPost . metago -- MetaPost output of Go positions . metaobj -- MetaPost package providing high-level objects . metaplot -- Plot-manipulation macros for use in MetaPost . metapost -- A development of Metafont for creating graphics . metapost-colorbrewer -- An implementation of the colorbrewer2.org colours for MetaPost . metauml -- MetaPost library for typesetting UML diagrams . mfpic -- Draw Metafont/post pictures from (La)TeX commands . mfpic4ode -- Macros to draw direction fields and solutions of ODEs . minim-hatching -- Create tiling patterns with the minim-mp MetaPost processor . mp-geom2d -- Flat geometry with MetaPost . mp-neuralnetwork -- Drawing artificial neural networks with MetaPost and METAOBJ . mp3d -- 3D animations . mparrows -- MetaPost module with different types of arrow heads . mpattern -- Patterns in MetaPost . mpchess -- Drawing chess boards and positions with MetaPost . mpcolornames -- Extend list of predefined colour names for MetaPost . mpgraphics -- Process and display MetaPost figures inline . mpkiviat -- MetaPost package to draw Kiviat diagrams . mptrees -- Probability trees with MetaPost . piechartmp -- Draw pie-charts using MetaPost . repere -- MetaPost macros for secondary school mathematics teachers . roex -- Metafont-PostScript conversions . roundrect -- MetaPost macros for highly configurable rounded rectangles (optionally with text) . shapes -- Draw polygons, reentrant stars, and fractions in circles with MetaPost . slideshow -- Generate slideshow with MetaPost . splines -- MetaPost macros for drawing cubic spline interpolants . suanpan -- MetaPost macros for drawing Chinese and Japanese abaci . textpath -- Setting text along a path with MetaPost . threeddice -- Create images of dice with one, two, or three faces showing, using MetaPost Package: texlive-metapost-doc Description-md5: 0dc5a30b89d031677eb32d4cf8381caf Description-en: TeX Live: Documentation files for texlive-metapost This package provides the documentation for texlive-metapost Package: texlive-music Description-md5: c0c9fc34aecb2e44965cb9f6da706d0d Description-en: TeX Live: Music packages Music-related fonts and packages. . This package includes the following CTAN packages: . abc -- Support ABC music notation in LaTeX . autosp -- A Preprocessor that generates note-spacing commands for MusiXTeX scores . bagpipe -- Support for typesetting bagpipe music . chordbars -- Print chord grids for pop/jazz tunes . chordbox -- Draw chord diagrams . ddphonism -- Dodecaphonic diagrams: twelve-tone matrices, clock diagrams, etc. . figbas -- Mini-fonts for figured-bass notation in music . gchords -- Typeset guitar chords . gregoriotex -- Engraving Gregorian Chant scores . gtrcrd -- Add chords to lyrics . guitar -- Guitar chords and song texts . guitarchordschemes -- Guitar Chord and Scale Tablatures . guitartabs -- A class for drawing guitar tablatures easily . harmony -- Typeset harmony symbols, etc., for musicology . latex4musicians -- A guide for combining LaTeX and music . leadsheets -- Typesetting leadsheets and songbooks . liederbuch -- A LaTeX package for storing songs or other content, and repeated reuse in documents . lilyglyphs -- Access lilypond fragments and glyphs, in LaTeX . lyluatex -- Commands to include lilypond scores within a (Lua)LaTeX document . m-tx -- A preprocessor for pmx . musical -- Typeset (musical) theatre scripts . musicography -- Accessing symbols for music writing with pdfLaTeX . musixguit -- Easy notation for guitar music, in MusixTeX . musixtex -- Sophisticated music typesetting . musixtex-fonts -- Fonts used by MusixTeX . musixtnt -- A MusiXTeX extension library that enables transformations of the effect of notes commands . octave -- Typeset musical pitches with octave designations . piano -- Typeset a basic 2-octave piano diagram . pmx -- Preprocessor for MusiXTeX . pmxchords -- Produce chord information to go with pmx output . recorder-fingering -- Package to display recorder fingering diagrams . songbook -- Package for typesetting song lyrics and chord books . songproj -- Generate Beamer slideshows with song lyrics . songs -- Produce song books for church or fellowship . undar-digitacion -- Musical fingering diagrams of Pinkullo Huanuqueno, Flute (Recorder), Quena and Saxophone . xml2pmx -- Convert MusicXML to PMX and MusiXTeX . xpiano -- An extension of the piano package Package: texlive-pictures Description-md5: 5dc9c86d675a5344f0865bf6127f97a7 Description-en: TeX Live: Graphics, pictures, diagrams Including TikZ, pict, etc., but MetaPost and PStricks are separate. . This package includes the following CTAN packages: . adigraph -- Augmenting directed graphs . aobs-tikz -- TikZ styles for creating overlaid pictures in beamer . askmaps -- Typeset American style Karnaugh maps . asyfig -- Commands for using Asymptote figures . asypictureb -- User-friendly integration of Asymptote into LaTeX . autoarea -- Automatic computation of bounding boxes with PiCTeX . bardiag -- LaTeX package for drawing bar diagrams . beamerswitch -- Convenient mode selection in Beamer documents . binarytree -- Drawing binary trees using TikZ . blochsphere -- Draw pseudo-3D diagrams of Bloch spheres . bloques -- Generate control diagrams . blox -- Draw block diagrams, using TikZ . bodegraph -- Draw Bode, Nyquist and Black plots with gnuplot and TikZ . bondgraph -- Create bond graph figures in LaTeX documents . bondgraphs -- Draws bond graphs in LaTeX, using PGF/TikZ . braids -- Draw braid diagrams with PGF/TikZ . bxeepic -- Eepic facilities using pict2e . byo-twemojis -- "Build Your Own Twemojis" with TikZ . byrne -- This package provides a set of tools to typeset geometric proofs in the style of Oliver Byrne's 1847 ed. of Euclid's "Elements" . cachepic -- Convert document fragments into graphics . callouts -- Put simple annotations and notes inside a picture . callouts-box -- Provides visually appealing callout boxes . celtic -- A TikZ library for drawing celtic knots . chemfig -- Draw molecules with easy syntax . circuit-macros -- M4 macros for electric circuit diagrams . circuitikz -- Draw electrical networks with TikZ . circularglyphs -- A circular glyphs alphabet . coffeestains -- Add coffee stains to documents . coloredbelts -- Insert colored belts in documents (to present skills, for example) . combinedgraphics -- Include graphic (EPS or PDF)/LaTeX combinations . curve -- A class for making curriculum vitae . curve2e -- Extensions for package pict2e . curves -- Curves for LaTeX picture environment . dcpic -- Commutative diagrams in a LaTeX and TeX documents . diagmac2 -- Diagram macros, using pict2e . ditaa -- Use ditaa diagrams within LaTeX documents . doc-pictex -- A summary list of PicTeX documentation . dot2texi -- Create graphs within LaTeX using the dot2tex tool . dottex -- Use dot code in LaTeX . dpcircling -- Decorated text boxes using TikZ . dratex -- General drawing macros . drs -- Typeset Discourse Representation Structures (DRS) . duotenzor -- Drawing package for circuit and duotensor diagrams . dynkin-diagrams -- Draw Dynkin, Coxeter, and Satake diagrams using TikZ . ecgdraw -- Draws electrocardiograms (ECG) . eepic -- Extensions to epic and the LaTeX drawing tools . egpeirce -- Draw existential graphs invented by Charles S. Peirce . ellipse -- Draw ellipses and elliptical arcs using the standard LaTeX2e picture environment . endofproofwd -- An "end of proof" sign . epspdf -- Converter for PostScript, EPS and PDF . epspdfconversion -- On-the-fly conversion of EPS to PDF . esk -- Package to encapsulate Sketch files in LaTeX sources . euflag -- A command to reproduce the flag of the European Union . fadingimage -- Add full width fading pictures at the top or bottom of a page . fast-diagram -- Easy generation of FAST diagrams . fenetrecas -- Commands for CAS-like windows (Xcas or Geogebra) in TikZ . fig4latex -- Management of figures for large LaTeX documents . figchild -- Pictures for creating children's activities . figput -- Create interactive figures in LaTeX . fitbox -- Fit graphics on a page . flowchart -- Shapes for drawing flowcharts, using TikZ . forest -- Drawing (linguistic) trees . genealogytree -- Pedigree and genealogical tree diagrams . getmap -- Download OpenStreetMap maps for use in documents . gincltex -- Include TeX files as graphics (.tex support for \includegraphics) . gnuplottex -- Embed Gnuplot commands in LaTeX documents . gradientframe -- Simple gradient frames around objects . grafcet -- Draw Grafcet/SFC with TikZ . graph35 -- Draw keys and screen items of several Casio calculators . graphicxpsd -- Adobe Photoshop Data format (PSD) support for graphicx package . graphviz -- Write graphviz (dot+neato) inline in LaTeX documents . gtrlib-largetrees -- Library for genealogytree aiming at large trees . harveyballs -- Create Harvey Balls using TikZ . here -- Emulation of obsolete package for "here" floats . hf-tikz -- A simple way to highlight formulas and formula parts . hobby -- An implementation of Hobby's algorithm for PGF/TikZ . hvfloat -- Controlling captions, fullpage and doublepage floats . istgame -- Draw Game Trees with TikZ . kblocks -- Easily typeset Control Block Diagrams and Signal Flow Graphs . kinematikz -- Design kinematic chains and mechanisms . knitting -- Produce knitting charts, in Plain TeX or LaTeX . knittingpattern -- Create knitting patterns . ladder -- Draw simple ladder diagrams using TikZ . lapdf -- PDF drawing directly in TeX documents . latex-make -- Easy compiling of complex (and simple) LaTeX documents . liftarm -- Geometric constructions with liftarms using TikZ and LaTeX3 . lpic -- Put LaTeX material over included graphics . lroundrect -- LaTeX macros for utilizing the roundrect MetaPost routines . luamesh -- Computes and draws 2D Delaunay triangulation . luasseq -- Drawing spectral sequences in LuaLaTeX . maker -- Include Arduino or Processing code in LaTeX documents . makeshape -- Declare new PGF shapes . maritime -- International maritime signal flags using TikZ . mathspic -- A Perl filter program for use with PiCTeX . memoize -- Externalization of graphics and memoization of compilation results in general . mercatormap -- Spherical Mercator coordinate systems and Web Mercator tile integration . milsymb -- LaTeX package for TikZ based drawing of military symbols as per NATO APP-6(C) . miniplot -- A package for easy figure arrangement . mkpic -- Perl interface to mfpic . modiagram -- Drawing molecular orbital diagrams . neuralnetwork -- Graph-drawing for neural networks . nl-interval -- Represent intervals on the number line . nndraw -- Draw neural networks . numericplots -- Plot numeric data (including Matlab export) using PSTricks . outilsgeomtikz -- Some geometric tools, with TikZ . papiergurvan -- Commands to work with Gurvan Paper . pb-diagram -- A commutative diagram package using LAMSTeX or Xy-pic fonts . petri-nets -- A set TeX/LaTeX packages for drawing Petri nets . pgf -- Create PostScript and PDF graphics in TeX . pgf-blur -- PGF/TikZ package for "blurred" shadows . pgf-interference -- Drawing interference patterns with PGF/TikZ . pgf-periodictable -- Create custom periodic tables of elements . pgf-pie -- Draw pie charts, using PGF . pgf-soroban -- Create images of the soroban using TikZ/PGF . pgf-spectra -- Draw continuous or discrete spectra using PGF/TikZ . pgf-umlcd -- Some LaTeX macros for UML Class Diagrams . pgf-umlsd -- Draw UML Sequence Diagrams . pgfgantt -- Draw Gantt charts with TikZ . pgfkeysearch -- This package offers a way to find keys in a given path 'recursively', unlike pgfkeysvalueof . pgfkeyx -- Extended and more robust version of pgfkeys . pgfmolbio -- Draw graphs typically found in molecular biology texts . pgfmorepages -- Assemble multiple logical pages onto a physical page . pgfopts -- LaTeX package options with pgfkeys . pgfornament -- Drawing of Vectorian ornaments with PGF/TikZ . pgfplots -- Create normal/logarithmic plots in two and three dimensions . pgfplotsthemebeamer -- Use colours from the current beamer theme in pgfplots . picinpar -- Insert pictures into paragraphs . pict2e -- New implementation of picture commands . pictex -- Picture drawing macros for TeX and LaTeX . pictex2 -- Adds relative coordinates and improves the \plot command . pictochrono -- Insert "chronometer pictograms" with a duration . pinlabel -- A TeX labelling package . pixelart -- Draw pixel-art pictures . pixelarttikz -- Work with PixelArts, with TikZ . pmgraph -- "Poor man's" graphics . polyhedra -- A TikZ package for drawing polyhedra . polyomino -- Polyominoes using TikZ and LaTeX3 . postage -- Stamp letters with >>Deutsche Post<<'s service >>Internetmarke<< . postit -- A LaTeX package for displaying Post-it notes . prerex -- Interactive editor and macro support for prerequisite charts . prisma-flow-diagram -- This package provides an abstraction for creating PRISMA 2009 flow diagrams in LaTeX . productbox -- Typeset a three-dimensional product box . ptolemaicastronomy -- Diagrams of sphere models for variably strict conditionals (Lewis counterfactuals) . puyotikz -- Quickly typeset board states of Puyo Puyo games . pxpgfmark -- e-pTeX driver for PGF inter-picture connections . pxpic -- Draw pixel pictures . qcircuit -- Macros to generate quantum ciruits . qrcode -- Generate QR codes in LaTeX . quantikz -- Draw quantum circuit diagrams . randbild -- Marginal pictures . randomwalk -- Random walks using TikZ . realhats -- Put real hats on symbols instead of ^ . reotex -- Draw Reo Channels and Circuits . robotarm -- TikZ powered LaTeX package to draw parameterized 2D robot arms . rviewport -- Relative Viewport for Graphics Inclusion . sa-tikz -- TikZ library to draw switching architectures . sacsymb -- "Sacred Symbols" prepared with TikZ . schemabloc -- Draw block diagrams, using TikZ . scratch -- Draw programs like "scratch" . scratch3 -- Draw programs like "scratch" . scsnowman -- Snowman variants using TikZ . setdeck -- Typeset cards for Set . signchart -- Create beautifully typeset sign charts . simplenodes -- Simple nodes in four colors written in TikZ for LaTeX . simpleoptics -- Drawing lenses and mirrors for optical diagrams . smartdiagram -- Generate diagrams from lists . spath3 -- Manipulate "soft paths" in PGF . spectralsequences -- Print spectral sequence diagrams using PGF/TikZ . strands -- Draw objects constructed from strands . sunpath -- Draw sun path charts . swimgraf -- Graphical/textual representations of swimming performances . syntaxdi -- Create "railroad" syntax diagrams . table-fct -- Draw a variations table of functions and a convexity table of its graph . texdraw -- Graphical macros, using embedded PostScript . ticollege -- Graphical representation of keys on a standard scientific calculator . tikz-3dplot -- Coordinate transformation styles for 3d plotting in TikZ . tikz-among-us -- Create some AmongUs characters in TikZ environments . tikz-bagua -- Draw Bagua symbols in Yijing . tikz-bayesnet -- Draw Bayesian networks, graphical models and directed factor graphs . tikz-bbox -- Precise determination of bounding boxes in TikZ . tikz-bpmn -- A TikZ library for creating BPMN models . tikz-cd -- Create commutative diagrams with TikZ . tikz-decofonts -- Simple decoration fonts, made with TikZ, for short texts . tikz-dependency -- A library for drawing dependency graphs . tikz-dimline -- Technical dimension lines using PGF/TikZ . tikz-ext -- A collection of libraries for PGF/TikZ . tikz-feynhand -- Feynman diagrams with TikZ . tikz-feynman -- Feynman diagrams with TikZ . tikz-imagelabels -- Put labels on images using TikZ . tikz-inet -- Draw interaction nets with TikZ . tikz-kalender -- A LaTeX based calendar using TikZ . tikz-karnaugh -- Typeset Karnaugh maps using TikZ . tikz-ladder -- Draw ladder diagrams using TikZ . tikz-lake-fig -- Schematic diagrams of lakes . tikz-layers -- TikZ provides graphical layers on TikZ: "behind", "above" and "glass" . tikz-mirror-lens -- Spherical mirrors and lenses in TikZ . tikz-nef -- Create diagrams for neural networks constructed with the methods of the Neural Engineering Framework (NEF) . tikz-network -- Draw networks with TikZ . tikz-nfold -- Triple, quadruple, and n-fold paths with TikZ . tikz-opm -- Typeset OPM diagrams . tikz-optics -- A library for drawing optical setups with TikZ . tikz-osci -- Produce oscilloscope "screen shots" . tikz-page -- Small macro to help building nice and complex layout materials . tikz-palattice -- Draw particle accelerator lattices with TikZ . tikz-planets -- Illustrate celestial mechanics and the solar system . tikz-qtree -- Use existing qtree syntax for trees in TikZ . tikz-relay -- TikZ library for typesetting electrical diagrams . tikz-sfc -- Symbols collection for typesetting Sequential Function Chart (SFC) diagrams (PLC programs) . tikz-swigs -- Horizontally and vertically split elliptical nodes . tikz-timing -- Easy generation of timing diagrams as TikZ pictures . tikz-trackschematic -- A TikZ library for creating track diagrams in railways . tikz-truchet -- Draw Truchet tiles . tikz2d-fr -- Work with some 2D TikZ commands (French) . tikz3d-fr -- Work with some 3D figures . tikzbricks -- Drawing bricks with TikZ . tikzcalendarnotes -- Highlighting, Marking and Annotating dates in a TikZ calendar in a systematic way . tikzcodeblocks -- Helps to draw codeblocks like scratch, NEPO and PXT in TikZ . tikzdotncross -- Marking coordinates and crossing paths . tikzducks -- A little fun package for using rubber ducks in TikZ . tikzfill -- TikZ libraries for filling with images and patterns . tikzinclude -- Import TikZ images from colletions . tikzlings -- A collection of cute little animals and similar creatures . tikzmark -- Use TikZ's method of remembering a position on a page . tikzmarmots -- Drawing little marmots in TikZ . tikzorbital -- Atomic and molecular orbitals using TikZ . tikzpackets -- Display network packets . tikzpagenodes -- A single TikZ node for the whole page . tikzpeople -- Draw people-shaped nodes in TikZ . tikzpfeile -- Draw arrows using PGF/TikZ . tikzpingus -- Penguins with TikZ . tikzposter -- Create scientific posters using TikZ . tikzquads -- A few shapes designed to be used with CircuiTikZ . tikzquests -- A parametric questions' repositories framework . tikzscale -- Resize pictures while respecting text size . tikzsymbols -- Some symbols created using TikZ . tikztosvg -- A utility for rendering TikZ diagrams to SVG . tikzviolinplots -- Draws violin plots from data . tile-graphic -- Create tiles of a graphical file . tilings -- A TikZ library for drawing tiles and tilings . timechart -- A package for drawing chronological charts . timing-diagrams -- Draw timing diagrams . tipfr -- Produces calculator's keys with the help of TikZ . tkz-base -- Tools for drawing with a cartesian coordinate system . tkz-berge -- Macros for drawing graphs of graph theory . tkz-bernoulli -- Draw Bernoulli trees with TikZ . tkz-doc -- Documentation macros for the TKZ series of packages . tkz-elements -- A Lua library for drawing Euclidean geometry with TikZ or tkz-euclide . tkz-euclide -- Tools for drawing Euclidean geometry . tkz-fct -- Tools for drawing graphs of functions . tkz-graph -- Draw graph-theory graphs . tkz-grapheur -- A LaTeX package with tools for graph plotting (and TikZ) . tkz-orm -- Create Object-Role Model (ORM) diagrams . tkz-tab -- Tables of signs and variations using PGF/TikZ . tkzexample -- Package for the documentation of all tkz-* packages . tonevalue -- Tool for linguists and phoneticians to visualize tone value patterns . tqft -- Drawing TQFT diagrams with TikZ/PGF . tsemlines -- Support for the ancient \emline macro . tufte-latex -- Document classes inspired by the work of Edward Tufte . twemojis -- Use Twitter's open source emojis through LaTeX commands . tzplot -- Plot graphs with TikZ abbreviations . utfsym -- Provides various Unicode symbols . vectorlogos -- Vectorial logos (GeoGebra, Emacs, Scratch, ...) with 'inline' support . venndiagram -- Creating Venn diagrams with TikZ . visualpstricks -- Visual help for PSTricks based on images with minimum text . wheelchart -- Diagrams with circular or other shapes using TikZ and LaTeX3 . wordcloud -- Drawing wordclouds with MetaPost and Lua . worldflags -- Drawing flags with TikZ . xistercian -- Cistercian numerals in LaTeX . xpicture -- Extensions of LaTeX picture drawing . xypic -- Flexible diagramming macros Package: texlive-pictures-doc Description-md5: a0c7d5a93d0c18d832e97c0ffdb9ab11 Description-en: TeX Live: Documentation files for texlive-pictures This package provides the documentation for texlive-pictures Package: texlive-plain-generic Description-md5: f2dee6e4d9cf4268396ccf265fb86a52 Description-en: TeX Live: Plain (La)TeX packages Add-on packages and macros that work with plain TeX, often LaTeX, and occasionally other formats. . This package includes the following CTAN packages: . abbr -- Simple macros supporting abreviations for Plain and LaTeX . abstyles -- Adaptable BibTeX styles . advice -- Extend commands and environments . apnum -- Arbitrary precision numbers implemented by TeX macros . autoaligne -- Align terms and members in math expressions . barr -- Diagram macros by Michael Barr . bitelist -- Split list, in TeX's mouth . borceux -- Diagram macros by Francois Borceux . c-pascal -- Typeset Python, C and Pascal programs . calcfrac -- Calculates the value of an expression containing fractions . catcodes -- Generic handling of TeX category codes . chronosys -- Drawing time-line diagrams . collargs -- Collect arguments of any command . colorsep -- Color separation . compare -- Compare two strings . crossrefenum -- Smart typesetting of enumerated cross-references for various TeX formats . cweb-old -- . dinat -- Bibliography style for German texts . dirtree -- Display trees in the style of windows explorer . docbytex -- Creating documentation from source code . dowith -- Apply a command to a list of items . eijkhout -- Victor Eijkhout's packages . encxvlna -- Insert nonbreakable spaces, using encTeX . epigram -- Display short quotations . epsf -- Simple macros for EPS inclusion . epsf-dvipdfmx -- Plain TeX file for using epsf.tex with (x)dvipdfmx . etoolbox-generic -- A loader for etoolbox.sty in non-LaTeX formats . expex-acro -- Wrapper for the expex package . expkv-bundle -- An expandable key=val implementation and friends . fenixpar -- One-shot changes to token registers such as \everypar . figflow -- Flow text around a figure . fixpdfmag -- Fix magnification in pdfTeX . fltpoint -- Simple floating point arithmetic . fntproof -- A programmable font test pattern generator . font-change -- Macros to change text and mathematics fonts in plain TeX . fontch -- Changing fonts, sizes and encodings in Plain TeX . fontname -- Scheme for naming fonts in TeX . gates -- Support for writing modular and customisable code . getoptk -- Define macros with sophisticated options . gfnotation -- Typeset Gottlob Frege's notation in plain TeX . gobble -- More gobble macros for PlainTeX and LaTeX . graphics-pln -- LaTeX-style graphics for Plain TeX users . gtl -- Manipulating generalized token lists . hlist -- Horizontal and columned lists . hyplain -- Basic support for multiple languages in Plain TeX . ifis-macros -- Check if a given input string is a number or dimension for TeX . inputnormalization -- Wrapper for XeTeX's and LuaTeX's input normalization . insbox -- Insert pictures/boxes into paragraphs . js-misc -- Miscellaneous macros from Joachim Schrod . kastrup -- Convert numbers into binary, octal and hexadecimal . lambda-lists -- Lists in TeX's mouth . langcode -- Simple language-dependent settings based on language codes . lecturer -- On-screen presentations for (almost) all formats . letterspacing -- Letter spacing . librarian -- Tools to create bibliographies in TeX . listofitems -- Grab items in lists using user-specified sep char . localloc -- Macros for localizing TeX register allocations . mathdots -- Commands to produce dots in math that respect font size . metatex -- Incorporate Metafont pictures in TeX source . midnight -- A set of useful macro tools . mkpattern -- A utility for making hyphenation patterns . mlawriter -- Write MLA style documents in Plain TeX . modulus -- A non-destructive modulus and integer quotient operator for TeX . multido -- A loop facility for Generic TeX . namedef -- TeX definitions with named parameters . navigator -- PDF features across formats and engines . newsletr -- Macros for making newsletters with Plain TeX . nth -- Generate English ordinal numbers . ofs -- Macros for managing large font collections . olsak-misc -- Collection of plain TeX macros written by Petr Olsak . outerhbox -- Collect horizontal material for contributing to a paragraph . path -- Typeset paths, making them breakable . pdf-trans -- A set of macros for various transformations of TeX boxes . pdfmsym -- PDF Math Symbols -- various drawn mathematical symbols . pitex -- Documentation macros . placeins-plain -- Insertions that keep their place . plainpkg -- A minimal method for making generic packages . plipsum -- 'Lorem ipsum' for Plain TeX developers . plnfss -- Font selection for Plain TeX . plstmary -- St. Mary's Road font support for plain TeX . poormanlog -- Logarithms and powers with (almost) 9 digits . present -- Presentations with Plain TeX . pwebmac -- Consolidated WEB macros for DVI and PDF output . random -- Generating "random" numbers in TeX . randomlist -- Deal with database, loop, and random in order to build personalized exercises . resumemac -- Plain TeX macros for resumes . ruler -- A typographic ruler for TeX . schemata -- Print topical diagrams . shade -- Shade pieces of text . simplekv -- A simple key/value system for TeX and LaTeX . soul -- Hyphenation for letterspacing, underlining, and more . swrule -- Lines thicker in the middle than at the ends . systeme -- Format systems of equations . tabto-generic -- "Tab" to a measured position in the line . termmenu -- The package provides support for terminal-based menus using expl3 . tex-ps -- TeX to PostScript generic macros and add-ons . tex4ht -- Convert (La)TeX to HTML/XML . texapi -- Macros to write format-independent packages . texdate -- Date printing, formatting, and manipulation in TeX . texdimens -- Conversion of TeX dimensions to decimals . timetable -- Generate timetables . tracklang -- Language and dialect tracker . transparent-io -- Show for approval the filenames used in \input, \openin, or \openout . treetex -- Draw trees . trigonometry -- Demonstration code for cos and sin in TeX macros . tuple -- Expandable operations for tuples of numbers . ulem -- Package for underlining . upca -- Print UPC-A barcodes . varisize -- Change font size in Plain TeX . xii -- Christmas silliness (English) . xii-lat -- Christmas silliness (Latin) . xintsession -- Interactive computing sessions (fractions, floating points, polynomials) . xlop -- Calculates and displays arithmetic operations . yax -- Yet Another Key System . zztex -- A full-featured TeX macro package for producing books, journals, and manuals Package: texlive-pstricks Description-md5: e7c0b2eb603e194eb4c2bcdb08a9ada2 Description-en: TeX Live: PSTricks PSTricks core and all add-on packages. . This package includes the following CTAN packages: . auto-pst-pdf -- Wrapper for pst-pdf (with some psfrag features) . bclogo -- Creating colourful boxes with logos . dsptricks -- Macros for Digital Signal Processing plots . luapstricks -- A PSTricks backend for LuaLaTeX . makeplot -- Easy plots from Matlab in LaTeX . pdftricks -- Support for PSTricks in pdfTeX . pdftricks2 -- Use PSTricks in pdfTeX . pedigree-perl -- Generate TeX pedigree files from CSV files . psbao -- Draw Bao diagrams . pst-2dplot -- A PSTricks package for drawing 2D curves . pst-3d -- A PSTricks package for tilting and other pseudo-3D tricks . pst-3dplot -- Draw 3D objects in parallel projection, using PSTricks . pst-abspos -- Put objects at an absolute position . pst-am -- Simulation of modulation and demodulation . pst-antiprism -- A PSTricks related package which draws an antiprism . pst-arrow -- Special arrows for PSTricks . pst-asr -- Typeset autosegmental representations for linguists . pst-bar -- Produces bar charts using PSTricks . pst-barcode -- Print barcodes using PostScript . pst-bezier -- Draw Bezier curves . pst-blur -- PSTricks package for "blurred" shadows . pst-bspline -- Draw cubic Bspline curves and interpolations . pst-calculate -- Support for floating point operations at LaTeX level . pst-calendar -- Plot calendars in "fancy" ways . pst-cie -- CIE color space . pst-circ -- PSTricks package for drawing electric circuits . pst-coil -- A PSTricks package for coils, etc . pst-contourplot -- Draw implicit functions using the "marching squares" algorithm . pst-cox -- Drawing regular complex polytopes with PSTricks . pst-dart -- Plotting dart boards . pst-dbicons -- Support for drawing ER diagrams . pst-diffraction -- Print diffraction patterns from various apertures . pst-electricfield -- Draw electric field and equipotential lines with PSTricks . pst-eps -- Create EPS files from PSTricks figures . pst-eucl -- Euclidian geometry with PSTricks . pst-exa -- Typeset PSTricks examples, with code . pst-feyn -- Draw graphical elements for Feynman diagrams . pst-fill -- Fill or tile areas with PSTricks . pst-fit -- Macros for curve fitting . pst-flags -- Draw flags of countries using PSTricks . pst-fr3d -- Draw 3-dimensional framed boxes using PSTricks . pst-fractal -- Draw fractal sets using PSTricks . pst-fun -- Draw "funny" objects with PSTricks . pst-func -- PSTricks package for plotting mathematical functions . pst-gantt -- Draw GANTT charts with PSTricks . pst-geo -- Geographical Projections . pst-geometrictools -- A PSTricks package to draw geometric tools . pst-gr3d -- Three dimensional grids with PSTricks . pst-grad -- Filling with colour gradients, using PSTricks . pst-graphicx -- A PSTricks-compatible graphicx for use with Plain TeX . pst-hsb -- Curves with continuous colours . pst-infixplot -- Using PSTricks plotting capacities with infix expressions rather than RPN . pst-intersect -- Compute intersections of arbitrary curves . pst-jtree -- Typeset complex trees for linguists . pst-knot -- PSTricks package for displaying knots . pst-labo -- Draw objects for Chemistry laboratories . pst-layout -- Page layout macros based on PSTricks packages . pst-lens -- Lenses with PSTricks . pst-light3d -- Three dimensional lighting effects (PSTricks) . pst-lsystem -- Create images based on a L-system . pst-magneticfield -- Plotting a magnetic field with PSTricks . pst-marble -- A PSTricks package to draw marble-like patterns . pst-math -- Enhancement of PostScript math operators to use with PSTricks . pst-mirror -- Images on a spherical mirror . pst-moire -- A PSTricks package to draw moire patterns . pst-node -- Nodes and node connections in PSTricks . pst-ob3d -- Three dimensional objects using PSTricks . pst-ode -- Solving initial value problems for sets of Ordinary Differential Equations . pst-optexp -- Drawing optical experimental setups . pst-optic -- Drawing optics diagrams . pst-osci -- Oscgons with PSTricks . pst-ovl -- Create and manage graphical overlays . pst-pad -- Draw simple attachment systems with PSTricks . pst-pdf -- Make PDF versions of graphics by processing between runs . pst-pdgr -- Draw medical pedigrees using PSTricks . pst-perspective -- Draw perspective views using PSTricks . pst-platon -- Platonic solids in PSTricks . pst-plot -- Plot data using PSTricks . pst-poker -- Drawing poker cards . pst-poly -- Polygons with PSTricks . pst-pulley -- Plot pulleys, using PSTricks . pst-qtree -- Simple syntax for trees . pst-rputover -- Place text over objects without obscuring background colors . pst-rubans -- Draw three-dimensional ribbons . pst-shell -- Plotting sea shells . pst-sigsys -- Support of signal processing-related disciplines . pst-slpe -- Sophisticated colour gradients . pst-solarsystem -- Plot the solar system for a specific date . pst-solides3d -- Draw perspective views of 3D solids . pst-soroban -- Draw a Soroban using PSTricks . pst-spectra -- Draw continuum, emission and absorption spectra with PSTricks . pst-spinner -- Drawing a fidget spinner . pst-stru -- Civil engineering diagrams, using PSTricks . pst-support -- Assorted support files for use with PSTricks . pst-text -- Text and character manipulation in PSTricks . pst-thick -- Drawing very thick lines and curves . pst-tools -- PSTricks support functions . pst-tree -- Trees, using PSTricks . pst-turtle -- Commands for "turtle operations" . pst-tvz -- Draw trees with more than one root node, using PSTricks . pst-uml -- UML diagrams with PSTricks . pst-vectorian -- Printing ornaments . pst-vehicle -- A PSTricks package for rolling vehicles on graphs of mathematical functions . pst-venn -- A PSTricks package for drawing Venn sets . pst-vowel -- Enable arrows showing diphthongs on vowel charts . pst2pdf -- A script to compile PSTricks documents via pdfTeX . pstricks -- PostScript macros for TeX . pstricks-add -- A collection of add-ons and bugfixes for PSTricks . pstricks_calcnotes -- Use of PSTricks in calculus lecture notes . uml -- UML diagrams in LaTeX . vaucanson-g -- PSTricks macros for drawing automata . vocaltract -- Visualise the vocal tract using LaTeX and PSTricks Package: texlive-pstricks-doc Description-md5: 2c5c80fc3fb2ae00432cbaaa63e07f92 Description-en: TeX Live: Documentation files for texlive-pstricks This package provides the documentation for texlive-pstricks Package: texlive-publishers Description-md5: 33cfa8b2faba3d612ead4562aa18f23f Description-en: TeX Live: Publisher styles, theses, etc. This package includes the following CTAN packages: . aastex -- Macros for Manuscript Preparation for AAS Journals . abnt -- Typesetting academic works according to ABNT rules . abntex2 -- Typeset technical and scientific Brazilian documents based on ABNT rules . abntexto -- LaTeX class for formatting academic papers in ABNT standards . acmart -- Class for typesetting publications of ACM . acmconf -- Class for ACM conference proceedings . active-conf -- Class for typesetting ACTIVE conference papers . adfathesis -- Australian Defence Force Academy thesis format . afthesis -- Air Force Institute of Technology thesis class . aguplus -- Styles for American Geophysical Union . aiaa -- Typeset AIAA conference papers . amnestyreport -- A LaTeX class for Amnesty International . anonymous-acm -- Typeset anonymous versions for ACM articles . anufinalexam -- LaTeX document shell for ANU final exam . aomart -- Typeset articles for the Annals of Mathematics . apa -- American Psychological Association format . apa6 -- Format documents in APA style (6th edition) . apa6e -- Format manuscripts to APA 6th edition guidelines . apa7 -- Format documents in APA style (7th edition) . arsclassica -- A different view of the ClassicThesis package . articleingud -- LaTeX class for articles published in INGENIERIA review . asaetr -- Transactions of the ASAE . ascelike -- Bibliography style for the ASCE . asmeconf -- A LaTeX template for ASME conference papers . asmejour -- A template for ASME journal papers . aucklandthesis -- Memoir-based class for formatting University of Auckland masters' and doctors' theses . bangorcsthesis -- Typeset a thesis at Bangor University . bangorexam -- Typeset an examination at Bangor University . bath-bst -- Harvard referencing style as recommended by the University of Bath Library . beamer-fuberlin -- Beamer, using the style of FU Berlin . beamer-verona -- A theme for the beamer class . beilstein -- Support for submissions to the "Beilstein Journal of Nanotechnology" . bfh-ci -- Corporate Design for Bern University of Applied Sciences . bgteubner -- Class for producing books for the publisher "Teubner Verlag" . bjfuthesis -- A thesis class for Beijing Forestry University . bmstu -- A LaTeX class for Bauman Moscow State Technical University . bmstu-iu8 -- A class for IU8 reports . br-lex -- A Class for Typesetting Brazilian legal texts . brandeis-dissertation -- Class for Brandeis University dissertations . brandeis-problemset -- Document class for COSI Problem sets at Brandeis University (Waltham, MA) . brandeis-thesis -- A class for Brandeis University M.A. theses . buctthesis -- Beijing University of Chemical Technology Thesis Template . cascadilla -- Typeset papers conforming to the stylesheet of the Cascadilla Proceedings Project . cesenaexam -- A class file to typeset exams . chem-journal -- Various BibTeX formats for journals in Chemistry . chifoot -- Chicago-style footnote formatting . chs-physics-report -- Physics lab reports for Carmel High School . cidarticle -- A class for submissions to the "Commentarii informaticae didacticae" (CID) . cje -- LaTeX document class for CJE articles . cjs-rcs-article -- Article class for The Canadian Journal of Statistics . classicthesis -- A "classically styled" thesis package . cleanthesis -- A clean LaTeX style for thesis documents . cmpj -- Style for the journal Condensed Matter Physics . confproc -- A set of tools for generating conference proceedings . contract -- Typeset formalized legal documents such as contracts, statutes, etc. . cquthesis -- LaTeX Thesis Template for Chongqing University . dccpaper -- Typeset papers for the International Journal of Digital Curation . dithesis -- A class for undergraduate theses at the University of Athens . ebook -- Helps creating an ebook by providing an ebook class . ebsthesis -- Typesetting theses for economics . ecothesis -- LaTeX thesis template for the Universidade Federal de Vicosa (UFV), Brazil . edmaths -- A report and thesis class file for the University of Edinburgh (UoE) . ejpecp -- Class for EJP and ECP . ekaia -- Article format for publishing the Basque Country Science and Technology Journal "Ekaia" . elbioimp -- A LaTeX document class for the Journal of Electrical Bioimpedance . els-cas-templates -- Elsevier updated LaTeX templates . elsarticle -- Class for articles for submission to Elsevier journals . elteiktdk -- TDK-thesis template for Hungarian TDK conferences, Section of Computer Science . elteikthesis -- Thesis template for Eotvos Lorand University (Informatics) . emisa -- A LaTeX package for preparing manuscripts for the journal EMISA . erdc -- Style for Reports by US Army Corps of Engineers . estcpmm -- Style for Munitions Management Project Reports . etsvthor -- Some useful abbreviations for members of e.t.s.v. Thor . facture-belge-simple-sans-tva -- Simple Belgian invoice without VAT . fbithesis -- Computer Science thesis class for University of Dortmund . fcavtex -- A thesis class for the FCAV/UNESP (Brazil) . fcltxdoc -- Macros for use in the author's documentation . fei -- Class for academic works at FEI University Center -- Brazil . ftc-notebook -- Typeset FIRST Tech Challenge (FTC) notebooks . gaceta -- A class to typeset La Gaceta de la RSME . gammas -- Template for the GAMM Archive for Students . geradwp -- Document class for the Cahiers du GERAD series . gfdl -- Support for using GFDL in LaTeX . gradstudentresume -- A generic template for graduate student resumes . grant -- Classes for formatting federal grant proposals . gsemthesis -- Geneva School of Economics and Management PhD thesis format . gzt -- Bundle of classes for "La Gazette des Mathematiciens" . h2020proposal -- LaTeX class and template for EU H2020 RIA proposal . hagenberg-thesis -- Collection of LaTeX classes, style files and example documents for academic manuscripts . har2nat -- Replace the harvard package with natbib . hduthesis -- LaTeX class for bachelor and MPhil theses in Hangzhou Dianzi University . hecthese -- A class for dissertations and theses at HEC Montreal . hep-paper -- Publications in High Energy Physics . heria -- A LaTeX class for Horizon Europe RIA and IA grant proposals . hfutexam -- Exam class for Hefei University of Technology (China) . hfutthesis -- LaTeX Thesis Template for Hefei University of Technology . hithesis -- Harbin Institute of Technology Thesis Template . hitszbeamer -- A beamer theme for Harbin Institute of Technology, ShenZhen . hitszthesis -- A dissertation template for Harbin Institute of Technology, ShenZhen . hobete -- Unofficial beamer theme for the University of Hohenheim . hu-berlin-bundle -- LaTeX classes for the Humboldt-Universitat zu Berlin . hustthesis -- Unofficial thesis template for Huazhong University . iaria -- Write documents for the IARIA publications . iaria-lite -- Write documents for the IARIA publications . icsv -- Class for typesetting articles for the ICSV conference . ieeeconf -- Macros for IEEE conference proceedings . ieeepes -- IEEE Power Engineering Society Transactions . ieeetran -- Document class for IEEE Transactions journals and conferences . ijmart -- LaTeX Class for the Israel Journal of Mathematics . ijsra -- LaTeX document class for the International Journal of Student Research in Archaeology . imac -- International Modal Analysis Conference format . imtekda -- IMTEK thesis class . inkpaper -- A mathematical paper template . iodhbwm -- Unofficial template of the DHBW Mannheim . iscram -- A LaTeX class to publish article to ISCRAM conferences . jacow -- A class for submissions to the proceedings of conferences on JACoW.org . jmlr -- Class files for the Journal of Machine Learning Research . jnuexam -- Exam class for Jinan University . jourcl -- Cover letter for journal submissions . jourrr -- A LaTeX template for journal rebuttal letters . jpsj -- Document Class for Journal of the Physical Society of Japan . jwjournal -- A personal class for writing journals . kdgdocs -- Document classes for Karel de Grote University College . kdpcover -- Covers for books published by Kindle Direct Publishing . kfupm-math-exam -- A LaTeX document style to produce homework, quiz and exam papers . kluwer -- Kluwer publication support . ksp-thesis -- A LaTeX class for theses published with KIT Scientific Publishing . ku-template -- Copenhagen University or faculty logo for front page . langsci -- Typeset books for publication with Language Science Press . langsci-avm -- Feature structures and attribute-value matrices (AVM) . limecv -- A (Xe/Lua)LaTeX document class for curriculum vitae . lion-msc -- LaTeX class for B.Sc. and M.Sc. reports at Leiden Institute of Physics (LION) . llncs -- Document class and bibliography style for Lecture Notes in Computer Science (LNCS) . llncsconf -- LaTeX package extending Springer's llncs class . lni -- Official class for the "Lecture Notes in Informatics" . lps -- Class for "Logic and Philosophy of Science" . matc3 -- Commands for MatematicaC3 textbooks . matc3mem -- Class for MatematicaC3 textbooks . mcmthesis -- Template designed for MCM/ICM . mentis -- A basis for books to be published by Mentis publishers . mitthesis -- A LaTeX template for an MIT thesis . mlacls -- LaTeX class for MLA papers . mluexercise -- Exercises/homework at the Martin Luther University Halle-Wittenberg . mnras -- Monthly Notices of the Royal Astronomical Society . modeles-factures-belges-assocs -- Generate invoices for Belgian non-profit organizations . msu-thesis -- Class for Michigan State University Master's and PhD theses . mucproc -- Conference proceedings for the German MuC-conference . mugsthesis -- Thesis class complying with Marquette University Graduate School requirements . muling -- MA Thesis class for the Department of Linguistics, University of Mumbai . musuos -- Typeset papers for the department of music, Osnabruck . muthesis -- Classes for University of Manchester Dept of Computer Science . mynsfc -- XeLaTeX template for writing the main body of NSFC proposals . nature -- Prepare papers for the journal Nature . navydocs -- Support for Technical Reports by US Navy Organizations . nddiss -- Notre Dame Dissertation format class . ndsu-thesis -- North Dakota State University disquisition class . ndsu-thesis-2022 -- North Dakota State University disquisition class 2022 . nih -- A class for NIH grant applications . nihbiosketch -- A class for NIH biosketches based on the 2015 updated format . njustthesis -- Thesis template for the Nanjing University of Science and Technology . njuthesis -- LaTeX thesis template for Nanjing University . njuvisual -- Display logos related to Nanjing University . nostarch -- LaTeX class for No Starch Press . novel -- Class for printing fiction, such as novels . nrc -- Class for the NRC technical journals . nstc-proposal -- LaTeX classes for preparing grant proposals to National Science and Technology Council, Taiwan . nwafuthesis -- A thesis template package for Northwest A&F University, China . nwejm -- Support for the journal "North-Western European Journal of Mathematics" . nxuthesis -- Thesis template for Ningxia University . omgtudoc-asoiu -- A class for documents of the ASOIU department at Omsk State Technical University . onrannual -- Class for Office of Naval Research Ocean Battlespace Sensing annual report . opteng -- SPIE Optical Engineering and OE Letters manuscript template . oststud -- Templates for the student organization at OST FH, Switzerland . oup-authoring-template -- A general template for journals published by Oxford University Press (OUP) . pats-resume -- A LaTeX template for your resume . philosophersimprint -- Typesetting articles for "Philosophers' Imprint" . pittetd -- Electronic Theses and Dissertations at Pitt . pkuthss -- LaTeX template for dissertations in Peking University . powerdot-fuberlin -- Powerdot, using the style of FU Berlin . powerdot-tuliplab -- A style package for Powerdot to provide the design of TULIP Lab . pracjourn -- Typeset articles for PracTeX . prociagssymp -- Macros for IAG symposium papers . proposal -- A set of LaTeX classes for preparing proposals for collaborative projects . prtec -- A template for PRTEC conference papers . ptptex -- Macros for 'Progress of Theoretical Physics' . qrbill -- Create QR bills using LaTeX . quantumarticle -- Document class for submissions to the Quantum journal . rebuttal -- Markup for structured journal and conference paper rebuttals . regulatory -- Flexible drafting of legal documents, especially in Dutch . resphilosophica -- Typeset articles for the journal Res Philosophica . resumecls -- Typeset a resume both in English and Chinese . revtex -- Styles for various Physics Journals . revtex4 -- Styles for various Physics Journals (old version) . revtex4-1 -- Styles for various Physics Journals . rub-kunstgeschichte -- A class for the art history institute at Ruhr University Bochum . rutitlepage -- Radboud University Titlepage Package . ryersonsgsthesis -- Ryerson School of Graduate Studies thesis template . ryethesis -- Class for Ryerson Unversity Graduate School requirements . sageep -- Format papers for the annual meeting of EEGS . sapthesis -- Typeset theses for Sapienza-University, Rome . schule -- Support for teachers at German schools . scientific-thesis-cover -- Provides cover page and affirmation at the end of a thesis . scripture -- A LaTeX style for typesetting Bible quotations . scrjrnl -- Typeset diaries or journals . sduthesis -- Thesis Template of Shandong University . se2thesis -- A Thesis Class for the Chair of Software Engineering II at the University of Passau, Germany . seu-ml-assign -- Southeast University Machine Learning Assignment template . seuthesis -- LaTeX template for theses at Southeastern University . seuthesix -- LaTeX class for theses at Southeast University, Nanjing, China . sfee -- A LaTeX class for the Smart Factory and Energy Efficence magazine of the Tecnologico . shortmathj -- Automatically shortify titles of mathematical journals . shtthesis -- An unofficial LaTeX thesis template for ShanghaiTech University . smflatex -- Classes for Societe mathematique de France publications . soton -- University of Southampton-compliant slides . sphdthesis -- LaTeX template for writing PhD Thesis . spie -- Support for formatting SPIE Proceedings manuscripts . sr-vorl -- Class for Springer books . srdp-mathematik -- Typeset Austrian SRDP in mathematics . sshrc-insight -- A LaTeX class for SSHRC Insight Grant proposals . stellenbosch -- Stellenbosch thesis bundle (legacy version) . stellenbosch-2 -- Stellenbosch University thesis bundle . suftesi -- A document class for typesetting theses, books and articles . sugconf -- SAS(R) user group conference proceedings document class . tabriz-thesis -- A template for the University of Tabriz . technion-thesis-template -- Template for theses on the Technion graduate school . texilikechaps -- Format chapters with a texi-like format . texilikecover -- A cover-page package, like TeXinfo . thesis-ekf -- Thesis class for Eszterhazy Karoly Catholic University . thesis-gwu -- Thesis class for George Washington University School of Engineering and Applied Science . thesis-qom -- Thesis style of the University of Qom, Iran . thesis-titlepage-fhac -- Little style to create a standard titlepage for diploma thesis . thuaslogos -- Logos for The Hague University of Applied Sciences (THUAS) . thubeamer -- A beamer theme for Tsinghua University . thucoursework -- Coursework template for Tsinghua University . thuthesis -- Thesis template for Tsinghua University . tidyres -- Create formal resumes easily . tiet-question-paper -- A LaTeX question paper class for the TIET . timbreicmc -- Typeset documents with ICMC/USP watermarks . tlc-article -- A LaTeX document class for formal documents . topletter -- Letter class for the Politecnico di Torino . toptesi -- Bundle for typesetting multilanguage theses . tuda-ci -- LaTeX templates of Technische Universitat Darmstadt . tudscr -- Corporate Design of Technische Universitat Dresden . tugboat -- LaTeX macros for TUGboat articles . tugboat-plain -- Plain TeX macros for TUGboat . tui -- Thesis style for the University of the Andes, Colombia . turabian -- Create Turabian-formatted material using LaTeX . uaclasses -- University of Arizona thesis and dissertation format . uafthesis -- Document class for theses at University of Alaska Fairbanks . ualberta -- A LaTeX template for the University of Alberta . uantwerpendocs -- Course texts, master theses, and exams in University of Antwerp style . ucalgmthesis -- LaTeX thesis class for University of Calgary Faculty of Graduate Studies . ucbthesis -- Thesis and dissertation class supporting UCB requirements . ucdavisthesis -- A thesis/dissertation class for University of California at Davis . ucph-revy -- Musical script formatting . ucsmonograph -- Typesetting academic documents from the University of Caxias do Sul . ucthesis -- University of California thesis format . udepcolor -- University of Piura (UDEP) institutional and corporate colors for digital and electronic media . udes-genie-these -- A thesis class file for the Faculte de genie at the Universite de Sherbrooke . udiss -- A LaTeX bundle for typesetting dissertations . uestcthesis -- Thesis class for UESTC . ufrgscca -- A bundle for undergraduate students final work/report (tcc) at UFRGS/EE . uhhassignment -- A document class for typesetting homework assignments . uiucredborder -- Class for UIUC thesis red-bordered forms . uiucthesis -- UIUC thesis class . ukbill -- A class for typesetting UK legislation . ulthese -- Thesis class and templates for Universite Laval . umbclegislation -- A LaTeX class for building legislation files for UMBC Student Government Association Bills . umich-thesis -- University of Michigan Thesis LaTeX class . umthesis -- Dissertations at the University of Michigan . unam-thesis -- Create documents according to the UNAM guidelines . unamth-template -- UNAM Thesis LaTeX Template . unamthesis -- Style for Universidad Nacional Autonoma de Mexico theses . unbtex -- A class for theses at University of Brasilia (UnB) . unifith -- Typeset theses for University of Florence (Italy) . unigrazpub -- LaTeX templates for University of Graz Library Publishing Services . unitn-bimrep -- A bimonthly report class for the PhD School of Materials, Mechatronics and System Engineering . univie-ling -- Papers, theses and research proposals in (Applied) Linguistics at Vienna University . unizgklasa -- A LaTeX class for theses at the Faculty Of Graphic Arts in Zagreb . unswcover -- Typeset a dissertation cover page following UNSW guidelines . uol-physics-report -- A LaTeX document class for writing lab reports . uothesis -- Class for dissertations and theses at the University of Oregon . uowthesis -- Document class for dissertations at the University of Wollongong . uowthesistitlepage -- Title page for dissertations at the University of Wollongong . urcls -- Beamer and scrlttr2 classes and styles for the University of Regensburg . uspatent -- U.S. Patent Application Tools for LaTeX and LyX . ut-thesis -- University of Toronto thesis style . utexasthesis -- University of Texas at Austin graduate thesis style . uvaletter -- Unofficial letterhead template for the University of Amsterdam . uwa-colours -- The colour palette of The University of Western Australia . uwa-letterhead -- The letterhead of the University of Western Australia . uwa-pcf -- A Participant Consent Form (PCF) for a human research protocol at the University of Western Australia . uwa-pif -- A Participant Information Form (PIF) for a human research protocol at the University of Western Australia . uwthesis -- University of Washington thesis class . vancouver -- Bibliographic style file for Biomedical Journals . wsemclassic -- LaTeX class for Bavarian school w-seminar papers . xduthesis -- XeLaTeX template for writing Xidian University Thesis . xduts -- Xidian University TeX Suite . xmuthesis -- XMU thesis style . yathesis -- A LaTeX class for writing a thesis following French rules . yazd-thesis -- A template for the Yazd University . yb-book -- Template for YB Branded Books . york-thesis -- A thesis class file for York University, Toronto Package: texlive-publishers-doc Description-md5: 7b34b5c428ad0074c9975b2426b66d35 Description-en: TeX Live: Documentation files for texlive-publishers This package provides the documentation for texlive-publishers Package: texlive-science Description-md5: 11b7d0244a92f54486d14de1ffe7109f Description-en: TeX Live: Mathematics, natural sciences, computer science packages This package includes the following CTAN packages: . 12many -- Generalising mathematical index sets . accents -- Multiple mathematical accents . aiplans -- A TikZ-based library for drawing POCL plans . alg -- LaTeX environments for typesetting algorithms . algobox -- Typeset Algobox programs . algorithm2e -- Floating algorithm environment with algorithmic keywords . algorithmicx -- The algorithmic style you always wanted . algorithms -- A suite of tools for typesetting algorithms in pseudo-code . algpseudocodex -- Package for typesetting pseudocode . algxpar -- Support multiple lines of pseudocode . aligned-overset -- Fix alignment at \overset or \underset . amscdx -- Enhanced commutative diagrams . amstex -- American Mathematical Society plain TeX macros . annotate-equations -- Easily annotate math equations using TikZ . apxproof -- Proofs in appendix . atableau -- A LaTeX package for symmetric group combinatorics . autobreak -- Simple line breaking of long formulae . axodraw2 -- Feynman diagrams in a LaTeX document . backnaur -- Typeset Backus Naur Form definitions . begriff -- Typeset Begriffschrift . binomexp -- Calculate Pascal's triangle . biocon -- Typesetting biological species names . bitpattern -- Typeset bit pattern diagrams . bodeplot -- Draw Bode, Nyquist and Nichols plots with gnuplot or pgfplots . bohr -- Simple atom representation according to the Bohr model . boldtensors -- Bold latin and greek characters through simple prefix characters . bosisio -- A collection of packages by Francesco Bosisio . bpchem -- Typeset chemical names, formulae, etc . bropd -- Simplified brackets and differentials in LaTeX . bussproofs -- Proof trees in the style of the sequent calculus . bussproofs-extra -- Extra commands for bussproofs.sty . bytefield -- Create illustrations for network protocol specifications . calculation -- Typesetting reasoned calculations, also called calculational proofs . cartonaugh -- A LuaLaTeX package for drawing karnaugh maps with up to 6 variables . cascade -- Constructions with braces to present mathematical demonstrations . causets -- Draw causal set (Hasse) diagrams . ccfonts -- Support for Concrete text and math fonts in LaTeX . ccool -- A key-value document command parser . chemarrow -- Arrows for use in chemistry . chemcompounds -- Simple consecutive numbering of chemical compounds . chemcono -- Support for compound numbers in chemistry documents . chemexec -- Creating (chemical) exercise sheets . chemformula -- Command for typesetting chemical formulas and reactions . chemformula-ru -- Using the chemformula package with babel-russian settings . chemgreek -- Upright Greek letters in chemistry . chemmacros -- A collection of macros to support typesetting chemistry documents . chemnum -- A method for numbering chemical compounds . chemobabel -- Convert chemical structures from ChemDraw, MDL molfile or SMILES using Open Babel . chemplants -- Symbology to draw chemical plants with TikZ . chemschemex -- Typeset and cross-reference chemical schemes based on TikZ code . chemsec -- Automated creation of numeric entity labels . chemstyle -- Writing chemistry with style . clrscode -- Typesets pseudocode as in Introduction to Algorithms . clrscode3e -- Typesets pseudocode as in Introduction to Algorithms . codeanatomy -- Typeset code with annotations . coloredtheorem -- A colourful boxed theorem environment . commath -- Mathematics typesetting support . commutative-diagrams -- CoDi: Commutative Diagrams for TeX . complexity -- Computational complexity class names . complexpolylongdiv -- Typesetting (complex) polynomial long division . computational-complexity -- Class for the journal Computational Complexity . concmath -- Concrete Math fonts . concrete -- Concrete Roman fonts . conteq -- Typeset multiline continued equalities . cora-macs -- Macros for continuous sets and neural networks in the context of cyber-physical systems . correctmathalign -- Correct spacing of the alignment in expressions . cryptocode -- Typesetting pseudocode, protocols, game-based proofs and black-box reductions in cryptography . cs-techrep -- Technical Reports in Computer Science and Software Engineering . csassignments -- A wrapper for article with macros and customizations for computer science assignments . csthm -- Customized theorem environments for computer science documents . cvss -- Compute and display CVSS base scores . decision-table -- An easy way to create Decision Model and Notation decision tables . delim -- Simplify typesetting mathematical delimiters . delimseasy -- Delimiter commands that are easy to use and resize . delimset -- Typeset and declare sets of delimiters with convenient size control . derivative -- Nice and easy derivatives . diffcoeff -- Write differential coefficients easily and consistently . digiconfigs -- Writing "configurations" . dijkstra -- Dijkstra algorithm for LaTeX . domaincoloring -- Draw colored represenations of complex functions . drawmatrix -- Draw visual representations of matrices in LaTeX . drawstack -- Draw execution stacks . dyntree -- Construct Dynkin tree diagrams . easing -- easing functions for pgfmath . ebproof -- Formal proofs in the style of sequent calculus . econometrics -- Defines some commands that simplify mathematic notation in economic and econometric writing . eltex -- Simple circuit diagrams in LaTeX picture mode . emf -- Support for the EMF symbol . endiagram -- Easy creation of potential energy curve diagrams . engtlc -- Support for users in Telecommunications Engineering . eolang -- Formulas and graphs for the EO programming language . eqexpl -- Align explanations for formulas . eqnarray -- More generalised equation arrays with numbering . eqnlines -- Single- and multiline equations . eqnnumwarn -- Modifies the amsmath equation environments to warn for a displaced equation number . euclidean-lattice -- Draw two-dimensional Euclidean lattices with TikZ . euclideangeometry -- Draw geometrical constructions . extarrows -- Extra Arrows beyond those provided in amsmath . extpfeil -- Extensible arrows in mathematics . faktor -- Typeset quotient structures with LaTeX . fascicules -- Create mathematical manuals for schools . fitch -- LaTeX macros for Fitch-style natural deduction . fixdif -- Macros for typesetting differential operators . fixmath -- Make maths comply with ISO 31-0:1992 to ISO 31-13:1992 . fnspe -- Macros for supporting mainly students of FNSPE CTU in Prague . formal-grammar -- Typeset formal grammars . fouridx -- Left sub- and superscripts in maths mode . freealign -- Align math formulas in different lines . functan -- Macros for functional analysis and PDE theory . galois -- Typeset Galois connections . gastex -- Graphs and Automata Simplified in TeX . gene-logic -- Typeset logic formulae, etc . ghsystem -- Globally harmonised system of chemical (etc) naming . glosmathtools -- Mathematical nomenclature tools based on the glossaries package . gotoh -- An implementation of the Gotoh sequence alignment algorithm . grundgesetze -- Typeset Frege's Grundgesetze der Arithmetik . gu -- Typeset crystallographic group-subgroup-schemes . helmholtz-ellis-ji-notation -- Beautiful in-line microtonal just intonation accidentals . hep -- A "convenience wrapper" for High Energy Physics packages . hep-graphic -- Extensions for graphics, plots and feynman graphs in high energy physics . hep-reference -- Adjustments for publications in High Energy Physics . hepnames -- Pre-defined high energy particle names . hepparticles -- Macros for typesetting high energy physics particle names . hepthesis -- A class for academic reports, especially PhD theses . hepunits -- A set of units useful in high energy physics applications . ibrackets -- Intelligent brackets . includernw -- Include .Rnw inside .tex . interval -- Format mathematical intervals, ensuring proper spacing . ionumbers -- Restyle numbers in maths mode . isomath -- Mathematics style for science and technology . isphysicalmath -- Simple way to write nice formulas . jkmath -- Macros for mathematics that make the code more readable . jupynotex -- Include whole or partial Jupyter notebooks in LaTeX documents . karnaugh -- Typeset Karnaugh-Veitch-maps . karnaugh-map -- LaTeX package for drawing karnaugh maps with up to 6 variables . karnaughmap -- Typeset Karnaugh maps . keytheorems -- An l3keys interface to amsthm . kvmap -- Create Karnaugh maps with LaTeX . letterswitharrows -- Draw arrows over math letters . lie-hasse -- Draw Hasse diagrams . linearregression -- Calculate and display linear regressions . logicproof -- Box proofs for propositional and predicate logic . longdivision -- Typesets long division . lpform -- Typesetting linear programming formulations and sets of equations . lplfitch -- Fitch-style natural deduction proofs . lstbayes -- Listings language driver for Bayesian modeling languages . luanumint -- Numerical integration using Lua inside LaTeX documents . math-operator -- Predefined and new math operators . mathcommand -- \newcommand-like commands for defining math macros . mathcomp -- Text symbols in maths mode . mathfixs -- Fix various layout issues in math mode . mathlig -- Define maths "ligatures" . mathpartir -- Typesetting sequences of math formulas, e.g. type inference rules . mathpunctspace -- Control the space after punctuation in math expressions . mathsemantics -- Semantic math commands in LaTeX . matlab-prettifier -- Pretty-print Matlab source code . matrix-skeleton -- A PGF/TikZ library that simplifies working with multiple matrix nodes . mattens -- Matrices/tensor typesetting . mecaso -- Formulas frequently used in rigid body mechanics . medmath -- Better medium-size math commands . membranecomputing -- Membrane Computing notation . memorygraphs -- TikZ styles to typeset graphs of program memory . messagepassing -- Draw diagrams to represent communication protocols . mgltex -- High-quality graphics from MGL scripts embedded in LaTeX documents . mhchem -- Typeset chemical formulae/equations and H and P statements . mhequ -- Multicolumn equations, tags, labels, sub-numbering . miller -- Typeset miller indices . mismath -- Miscellaneous mathematical macros . moremath -- Additional commands for typesetting maths . multiobjective -- Symbols for multiobjective optimisation etc . naive-ebnf -- EBNF in plain text . namedtensor -- Macros for named tensor notation . natded -- Typeset natural deduction proofs . nath -- Natural mathematics notation . nchairx -- Maths macros from chair X of Wurzburg University . nicematrix -- Improve the typesetting of mathematical matrices with PGF . nuc -- Notation for nuclear isotopes . nucleardata -- Provides data about atomic nuclides for documents . numbersets -- Display number sets with customizable typefaces . numerica -- Numerically evaluate mathematical expressions in LaTeX form . numerica-plus -- Iteration and recurrence relations: finding fixed points, zeros and extrema of functions . numerica-tables -- Create multi-column tables of mathematical functions . objectz -- Macros for typesetting Object Z . odesandpdes -- Optimizing workflow involving odes and pdes . oplotsymbl -- Some symbols which are not easily available . ot-tableau -- Optimality Theory tableaux in LaTeX . oubraces -- Braces over and under a formula . overarrows -- Custom extensible arrows over math expressions . pascaltriangle -- Draw beautiful Pascal (Yanghui) triangles . perfectcut -- Nested delimiters that consistently grow regardless of the contents . pfdicons -- Draw process flow diagrams in chemical engineering . physconst -- Macros for commonly used physical constants . physics -- Macros supporting the Mathematics of Physics . physics-patch -- Patches for the physics package, and integration of physics and siunitx packages . physics2 -- Macros for typesetting maths faster and more simply . physunits -- Macros for commonly used physical units . pinoutikz -- Draw chip pinouts with TikZ . pm-isomath -- Poor man ISO math for pdfLaTeX users . pmdraw -- Draw elements of the diagram monoids . polexpr -- A parser for polynomial expressions . prftree -- Macros for building proof trees . principia -- Notations for typesetting the "Principia Mathematica" . proba -- Shortcuts commands to symbols used in probability texts . proof-at-the-end -- A package to move proofs to appendix . prooftrees -- Forest-based proof trees (symbolic logic) . pseudo -- Straightforward pseudocode . pseudocode -- LaTeX environment for specifying algorithms in a natural way . pythonhighlight -- Highlighting of Python code, based on the listings package . qsharp -- Syntax highlighting for the Q# language . quickreaction -- A simple and fast way to typeset chemical reactions . quiver -- Draw commutative diagrams exported from https://q.uiver.app . rank-2-roots -- Draw (mathematical) rank 2 root systems . rbt-mathnotes -- Rebecca Turner's personal macros and styles for typesetting mathematics notes . rec-thy -- Commands to typeset recursion theory papers . reptheorem -- Repetition of theorem environments . resolsysteme -- Work on linear systems using xint or pyluatex . rest-api -- Describing a rest api . revquantum -- Hacks to make writing quantum papers for revtex4-1 less painful . ribbonproofs -- Drawing ribbon proofs . rigidnotation -- Typeset vectors and matrices following the RIGID notation . rmathbr -- Repeating of math operator at the broken line and the new line in inline equations . sankey -- Draw Sankey diagrams with TikZ . sasnrdisplay -- Typeset SAS or R code or output . sciposter -- Make posters of ISO A3 size and larger . sclang-prettifier -- Prettyprinting SuperCollider source code . scratchx -- Include Scratch programs in LaTeX documents . sesamanuel -- Class and package for sesamath books or paper . sfg -- Draw signal flow graphs . shuffle -- A symbol for the shuffle product . simplebnf -- A simple package to format Backus-Naur form (BNF) . simpler-wick -- Simpler Wick contractions . simples-matrices -- Define matrices by given list of values . simplewick -- Simple Wick contractions . sistyle -- Package to typeset SI units, numbers and angles . siunits -- International System of Units . siunitx -- A comprehensive (SI) units package . skmath -- Extensions to the maths command repertoir . spalign -- Typeset matrices and arrays with spaces and semicolons as delimiters . spbmark -- Customize superscripts and subscripts . stanli -- TikZ Library for Structural Analysis . statex -- Statistics style . statex2 -- Statistics style . statistics -- Compute and typeset statistics tables and graphics . statistik -- Store statistics of a document . statmath -- A LaTeX package for simple use of statistical notation . steinmetz -- Print Steinmetz notation . stmaryrd -- St Mary Road symbols for theoretical computer science . string-diagrams -- Create string diagrams with LaTeX and TikZ . structmech -- A TikZ command set for structural mechanics drawings . struktex -- Draw Nassi-Shneiderman charts . substances -- A database of chemicals . subsupscripts -- A range of sub- and superscript commands . subtext -- Easy text-style subscripts in math mode . susy -- Macros for SuperSymmetry-related work . syllogism -- Typeset syllogisms in LaTeX . synproof -- Easy drawing of syntactic proofs . t-angles -- Draw tangles, trees, Hopf algebra operations and other pictures . tablor -- Create tables of signs and of variations . temporal-logic -- Symbols for Temporal Logics . tensind -- Typeset tensors . tensor -- Typeset tensors . tex-ewd -- Macros to typeset calculational proofs and programs in Dijkstra's style . textgreek -- Upright greek letters in text . textopo -- Annotated membrane protein topology plots . thermodynamics -- Macros for multicomponent thermodynamics documents . thmbox -- Decorate theorem statements . thmtools -- Extensions to theorem environments . tiscreen -- Mimic the screen of older Texas Instruments calculators . turnstile -- Typeset the (logic) turnstile notation . ulqda -- Support of Qualitative Data Analysis . unitsdef -- Typesetting units in LaTeX . venn -- Creating Venn diagrams with MetaPost . witharrows -- "Aligned" math environments with arrows for comments . xymtex -- Typesetting chemical structures . yhmath -- Extended maths fonts for LaTeX . youngtab -- Typeset Young-Tableaux . yquant -- Typesetting quantum circuits in a human-readable language . ytableau -- Many-featured Young tableaux and Young diagrams . zx-calculus -- A library to typeset ZX Calculus diagrams Package: texlive-science-doc Description-md5: 40b9eb4c24f330a9b0e3d1690cfd256a Description-en: TeX Live: Documentation files for texlive-science This package provides the documentation for texlive-science Package: texlive-xetex Description-md5: b091dd54d37beb27ac33ef0e2d0af47d Description-en: TeX Live: XeTeX and packages Packages for XeTeX, the Unicode/OpenType-enabled TeX by Jonathan Kew. See https://tug.org/xetex. . This package includes the following CTAN packages: . arabxetex -- An ArabTeX-like interface for XeLaTeX . bidi-atbegshi -- Bidi-aware shipout macros . bidicontour -- Bidi-aware coloured contour around text . bidipagegrid -- Bidi-aware page grid in background . bidipresentation -- Experimental bidi presentation . bidishadowtext -- Bidi-aware shadow text . businesscard-qrcode -- Business cards with QR-Code . cqubeamer -- LaTeX Beamer Template for Chongqing University . fixlatvian -- Improve Latvian language support in XeLaTeX . font-change-xetex -- Macros to change text and mathematics fonts in plain XeTeX . fontbook -- Generate a font book . fontwrap -- Bind fonts to specific unicode blocks . interchar -- Managing character class schemes in XeTeX . na-position -- Tables of relative positions of curves and asymptotes or tangents in Arabic documents . philokalia -- A font to typeset the Philokalia Books . ptext -- A 'lipsum' for Persian . realscripts -- Access OpenType subscript and superscript glyphs . simple-resume-cv -- Template for a simple resume or curriculum vitae (CV), in XeLaTeX . simple-thesis-dissertation -- Template for a simple thesis or dissertation (Ph.D. or master's degree) or technical report, in XeLaTeX . tetragonos -- Four-Corner codes of Chinese characters . ucharclasses -- Font actions in XeTeX according to what is being processed . unicode-bidi -- Experimental unicode bidi package for XeTeX . unimath-plain-xetex -- OpenType math support in (plain) XeTeX . unisugar -- Define syntactic sugar for Unicode LaTeX . xebaposter -- Create beautiful scientific Persian/Latin posters using TikZ . xechangebar -- An extension of package changebar that can be used with XeLaTeX . xecolor -- Support for color in XeLaTeX . xecyr -- Using Cyrillic languages in XeTeX . xeindex -- Automatic index generation for XeLaTeX . xelatex-dev -- . xesearch -- A string finder for XeTeX . xespotcolor -- Spot colours support for XeLaTeX . xetex -- An extended variant of TeX for use with Unicode sources . xetex-itrans -- Itrans input maps for use with XeLaTeX . xetex-pstricks -- Running PSTricks under XeTeX . xetex-tibetan -- XeTeX input maps for Unicode Tibetan . xetexconfig -- crop.cfg for XeLaTeX . xetexfontinfo -- Report font features in XeTeX . xetexko -- Typeset Korean with Xe(La)TeX . xevlna -- Insert non-breakable spaces using XeTeX . zbmath-review-template -- Template for a zbMATH Open review Package: tilix Description-md5: 4c5486726c4d4cefaec366f00e558f3d Description-en: Tiling terminal emulator for GNOME Tilix is a feature-rich tiling terminal emulator following the GNOME human interface design guidelines. Its many features include: . * Layout terminals in any fashion by splitting them horizontally or vertically. * Terminals can be re-arranged using drag and drop both within and between windows. * Terminals can be detached into a new window via drag and drop. * Input can be synchronized between terminals so commands typed in one terminal are replicated to the others. * Supports notifications when processes are completed out of view. Package: tilix-common Description-md5: 32f6bc52d8a59af6399c0ba5c895828a Description-en: Tiling terminal emulator - data files Tilix is a feature-rich tiling terminal emulator following the GNOME human interface design guidelines. . This package contains architecture independent data. Package: tint2 Description-md5: 22bb976668132b8e27ae131fa0e10a1a Description-en: lightweight taskbar Tint is a simple panel/taskbar intentionally made for openbox3, but should also work with other window managers. The taskbar includes transparency and color settings for the font, icons, border, and background. It also supports multihead setups, customized mouse actions, and a built-in clock. Tint was originally based on ttm code. Since then, support has also been added for a battery monitor and system tray. . The goal is to keep a clean and unintrusive look with lightweight code and compliance with freedesktop specification. Package: tm-align Description-md5: 667f2046704f2eef863a73792384abed Description-en: structural alignment of proteins TM-align is a computer algorithm for protein structure alignment using dynamic programming. The scoring is performed by the TM-score rotation matrix. This is similar to the RMSD in that unaligned portions of the structure influence the scoring less than the more structurally conserved regions. Package: tree-sitter-c-src Description-md5: e3ab3d36af591309ab686ddd021e9449 Description-en: C parser for Tree-sitter (source files) This package contains the generated source files for building the C parser shared object. Package: tree-sitter-cli Description-md5: 53daf1b38ec2bd72e645cf01474fcbbd Description-en: command-line for Tree-sitter parsers Tree-sitter is a parser generator tool and an incremental parsing library. It can build a concrete syntax tree for a source file and efficiently update the syntax tree as the source file is edited. . This package contains the tree-sitter-cli program, which is used to help generate, build, and test tree-sitter parsers. Package: tree-sitter-lua-src Description-md5: 535bca6b66f146c4af654ae0dc6435a7 Description-en: Lua parser for Tree-sitter (source files) This package contains the generated source files for building the Lua parser shared object. Package: tree-sitter-query-src Description-md5: 4e76475f5050e48ac223dc73ca46588a Description-en: Tree-sitter queries parser for Tree-sitter (source files) This package contains the generated source files for building the tree-sitter queries parser shared object. Package: tree-sitter-vim-src Description-md5: cf85abd4d4f6a7a23354aaf687f563b4 Description-en: Vimscript parser for Tree-sitter (source files) This package contains the generated source files for building the Vimscript parser shared object. Package: tree-sitter-vimdoc-src Description-md5: d00c8688252fcf3b279f62fe129937b2 Description-en: Vim help file parser for Tree-sitter (source files) This package contains the generated source files for building the Vim help file parser shared object. Package: trickle Description-md5: 84b7ecc8ce284790e36a3acf902e8b29 Description-en: user-space bandwidth shaper Trickle is a voluntary, cooperative bandwidth shaper. it works entirely in userland and is very easy to use. . The most simple application is to limit the bandwidth usage of programs. Package: trocla Description-md5: 4fc15767129a0db0e1e557d486eeed1b Description-en: password store for password creation and retrieval Trocla provides you a simple way to create and store (random) passwords on a central server, which can be retrieved by other applications. . A common use-case for this package is integration with a Puppet Server, which is provided by the trocla-puppetserver package. Package: trocla-puppetserver Description-md5: b0766202fbd76a68b01b9e2dbd3d15a0 Description-en: password store for password creation and retrieval (puppetserver integration) Trocla provides you a simple way to create and store (random) passwords on a central server, which can be retrieved by other applications. . This package provides integration into a Puppet Server installation. Package: umap-learn Description-md5: 949d5d3304fc30065a0bd753c8886c71 Description-en: Uniform Manifold Approximation and Projection Uniform Manifold Approximation and Projection (UMAP) is a dimension reduction technique that can be used for visualisation similarly to t- SNE, but also for general non-linear dimension reduction. The algorithm is founded on three assumptions about the data: . 1. The data is uniformly distributed on a Riemannian manifold; 2. The Riemannian metric is locally constant (or can be approximated as such); 3. The manifold is locally connected. . From these assumptions it is possible to model the manifold with a fuzzy topological structure. The embedding is found by searching for a low dimensional projection of the data that has the closest possible equivalent fuzzy topological structure. Package: unicorn Description-md5: 0bc2cbf89f21a8e8b4787cc6ec2c30c3 Description-en: Rack HTTP server for fast clients Unicorn is an HTTP server for Rack applications designed to only serve fast clients on low-latency, high-bandwidth connections and take advantage of features in Unix and Unix-like kernels. Slow clients should only be served by placing a reverse proxy capable of fully buffering both request and response in between Unicorn and slow clients. Package: valentina Description-md5: d1f6828fe9ae1850efe03b8497b0a811 Description-en: pattern making program Valentina is a pattern drafting software tool for small-batch and custom-sized clothing manufacturing. . Valentina allows designers to create and model patterns of clothing, using either standard sizing tables or an individual’s set of measurements. It blends new technologies with traditional methods to create a unique pattern making tool. . The "tape" executable is provided as "valentina-tape", and the "puzzle" executable is provided as "valentina-puzzle". Package: valentina-l10n Description-md5: 0989bbfb518e26b0a8f84c64384bf969 Description-en: pattern making program - locale data Valentina is a pattern drafting software tool for small-batch and custom-sized clothing manufacturing. . Valentina allows designers to create and model patterns of clothing, using either standard sizing tables or an individual’s set of measurements. It blends new technologies with traditional methods to create a unique pattern making tool. . This package provides locale data for Valentina. Package: varnish Description-md5: ebbadfc1a0485abd58ba563250510a25 Description-en: state of the art, high-performance web accelerator Varnish Cache is a state of the art web accelerator written with performance and flexibility in mind. . Varnish Cache stores web pages in memory so web servers don't have to create the same web page over and over again. Varnish serves pages much faster than any application server; giving the website a significant speed up. . Some of the features include: * A modern design * VCL - a very flexible configuration language * Load balancing with health checking of backends * Partial support for ESI - Edge Side Includes * URL rewriting * Graceful handling of "dead" backends Package: varnish-doc Description-md5: f8286c594d80b32f748ffdd119cbac2f Description-en: documentation for Varnish Cache This package contains HTML documentation for Varnish Cache. Package: varnish-re2 Description-md5: dab53383ec1f2d8d2c085d5fb06736f1 Description-en: RE2 regular expressions for Varnish Varnish Module (VMOD) for access to the Google RE2 regular expression engine. Varnish VCL uses the PCRE library (Perl Compatible Regular Expressions) for its native regular expressions, which runs very efficiently for many common uses of pattern matching in VCL, as attested by years of successful use of PCRE with Varnish. . But for certain kinds of patterns, the worst-case running time of the PCRE matcher is exponential in the length of the string to be matched. The matcher uses backtracking, implemented with recursive calls to the internal match() function. In principle there is no upper bound to the possible depth of backtracking and recursion, except as imposed by the varnishd runtime parameters pcre_match_limit and pcre_match_limit_recursion; matches fail if either of these limits are met. Stack overflow caused by deep backtracking has occasionally been the subject of varnishd issues. . RE2 differs from PCRE in that it limits the syntax of patterns so that they always specify a regular language in the formally strict sense. Most notably, backreferences within a pattern are not permitted, for example (foo|bar)\1 to match foofoo and barbar, but not foobar or barfoo. See the link in SEE ALSO for the specification of RE2 syntax. . This means that an RE2 matcher runs as a finite automaton, which guarantees linear running time in the length of the matched string. There is no backtracking, and hence no risk of deep recursion or stack overflow. Package: varnish-redis Description-md5: 5c3d4f4de9d2199b0073b6bf4d0ec917 Description-en: access Redis servers from VCL VMOD using the synchronous hiredis library API to access Redis servers from VCL. . Highlights: * Full support for execution of Lua scripts (i.e. EVAL command), including optimistic automatic execution of EVALSHA commands. * All Redis reply data types are supported, including partial support to access to components of simple (i.e. not nested) array replies. * Redis pipelines are not (and won't be) supported. Lua scripting, which is fully supported by the VMOD, it's a much more flexible alternative to pipelines for atomic execution and minimizing latency. Pipelines are hard to use and error prone, specially when using the WATCH command. * Support for classic Redis deployments using multiple replicated Redis servers and for clustered deployments based on Redis Cluster. * Support for multiple databases and multiple Redis connections, local to each Varnish worker thread, or shared using one or more pools. * Support for smart command execution, selecting the destination server according with the preferred role (i.e. master or slave) and with distance and healthiness metrics collected during execution. * Support for Redis Sentinel, allowing automatic discovery of sick / healthy servers and changes in their roles. Package: varnish-selector Description-md5: 6ae2aa1126cf8b03d8f801d1ae088c25 Description-en: match strings with backends, regexen and other strings Varnish Module (VMOD) for matching strings against sets of fixed strings. A VMOD object may also function as an associative array, mapping the matched string to one or more of a backend, another string, an integer, or a regular expression. The string may also map to a subroutine that can be invoked. . The VMOD is intended to support a variety of use cases that are typical for VCL deployments, such as: . * Determining the backend based on the Host header or the prefix of the URL. * Rewriting the URL or a header. * Generating redirect responses, based on a header or the URL. * Permitting or rejecting request methods. * Matching the Basic Authentication credentials in an Authorization request header. * Matching media types in the Content-Type header of a backend response to determine if the content is compressible. * Accessing data by string match, as in an associative array, or by numeric index, as in a standard array. * Dispatching subroutine calls based on string matches. * Executing conditional logic that depends on features of the request or response that can be determined by matching headers or URLs. . Operations such as these are commonly implemented in native VCL with an if-elsif-elsif sequence of string comparisons or regex matches. As the number of matches increases, such a sequence becomes cumbersome and scales poorly -- the time needed to execute the sequence increases with the number of matches to be performed. With the VMOD, the strings to be matched are declared in a tabular form in vcl_init, and the operation is executed in a few lines. Package: varnish-vmod-digest Description-md5: f266ca074c47002ede878babaacddf50 Description-en: Varnish digest module Varnish module (vmod) for computing HMAC, message digests and working with base64 from VCL. Package: virtiofsd Description-md5: e96fb3b03e22bd9f7749d474cd901a23 Description-en: Virtio-fs vhost-user device daemon virtiofsd is a daemon for sharing host directories with a virtual machine or other guest using virtio-fs. It is designed to work with QEMU’s --device vhost-user-fs-pci but should work with any virtual machine monitor (VMM) that supports vhost-user. Package: vsearch Description-md5: 3d5b978a4fdae86c2694b5e3775ea5a8 Description-en: tool for processing metagenomic sequences Versatile 64-bit multithreaded tool for processing metagenomic sequences, including searching, clustering, chimera detection, dereplication, sorting, masking and shuffling . The aim of this project is to create an alternative to the USEARCH tool developed by Robert C. Edgar (2010). The new tool should: . - have a 64-bit design that handles very large databases and much more than 4GB of memory - be as accurate or more accurate than usearch - be as fast or faster than usearch Package: vsearch-examples Description-md5: 638143e4174137843736a0f8b00dad48 Description-en: Test Data for vsearch tool for processing metagenomic sequences Versatile 64-bit multithreaded tool for processing metagenomic sequences, including searching, clustering, chimera detection, dereplication, sorting, masking and shuffling . The aim of this project is to create an alternative to the USEARCH tool developed by Robert C. Edgar (2010). The new tool should: . - have a 64-bit design that handles very large databases and much more than 4GB of memory - be as accurate or more accurate than usearch - be as fast or faster than usearch . This package contains a test data set as well as sample scripts running some test suite provided by Debian also as autopkgtest. Package: webext-folder-account Description-md5: b353edda22e4c8a0f2f77338cd009223 Description-en: Managing folder accounts Lets you associate user accounts and identities with specific folders. Great for multiple users who share TB, or for managing mailing lists. Package: west-chamber-common Description-md5: 1877521e4fe93c44ba7f7b13a40b5764 Description-en: iptable extension for bypassing content filtering firewall West-chamber is an iptable extension named after the famous Chinese ancient fiction - Romance of the West Chamber. It is based on the idea of T. Ptacek's paper in 1998 which allows users go through some content-filtered firewall without 3rd-party proxies. . This package contains the extension for iptables. It needs corresponding kernel modules to work properly. Package: west-chamber-dkms Description-md5: 3c286c304cf58ad78d195067644f8f5b Description-en: iptable extension for bypassing content filtering firewall (dkms) West-chamber is an iptable extension named after the famous Chinese ancient fiction - Romance of the West Chamber. It is based on the idea of T. Ptacek's paper in 1998 which allows users go through some content-filtered firewall without 3rd-party proxies. . The dkms package will automatically compile the driver for your current kernel version. Package: west-chamber-source Description-md5: f021d8f15ccda839e293f56b9d4a6301 Description-en: iptable extension for bypassing content filtering firewall (module source) West-chamber is an iptable extension named after the famous Chinese ancient fiction - Romance of the West Chamber. It is based on the idea of T. Ptacek's paper in 1998 which allows users go through some content-filtered firewall without 3rd-party proxies. . This package provides the source code for the west-chamber kernel modules. The west-chamber-common package is also required in order to make use of these modules. Kernel source or headers are required to compile these modules. Package: weston Description-md5: a6199b5b4d9e6a3de92c2ec580664e79 Description-en: reference implementation of a wayland compositor Part of the Wayland project is also the Weston reference implementation of a Wayland compositor. Weston can run as an X client or under Linux KMS and ships with a few demo clients. The Weston compositor is a minimal and fast compositor and is suitable for many embedded and mobile use cases. Package: weston-dev Description-md5: e663a6ef6b17a82dcd798ca9f8939255 Description-en: reference implementation of a wayland compositor (headers) Part of the Wayland project is also the Weston reference implementation of a Wayland compositor. Weston can run as an X client or under Linux KMS and ships with a few demo clients. The Weston compositor is a minimal and fast compositor and is suitable for many embedded and mobile use cases. . This package includes the weston headers for plugin development. Package: wims Description-md5: 0d669d4703fcf31fdf92745b0aaaf7b6 Description-en: server for educational content: courses, exercises, and exams The WWW Interactive Multipurpose Server is an educational platform originally developed for mathematics but now also supporting subjects such as physics, chemistry, biology, and languages. . WIMS features a rich set of resources and exercises either for autodidactical use or for training classes, including: . * exercises with automatic feedback and correction, allowing the learners to work at their own pace; * interactive exercises with randomly assigned data, allowing the learners to work on the same type of exercise but with different data and as often as they wish; * exercises with an automatic scoring system, which enhances learner motivation; * user-friendly graphics and calculation tools; * courses and documents with hyperlinks to the exercise pool; examples in the courses can be made random, so the student can get more than one instance of an illustration for tricky concepts; * support for exams with pre-published contents. As each individual exam will have randomly chosen data, training is possible but writing each response in advance is not. . This package contains the WIMS FastCGI server, serving Open Exercise Format (OEF) documents. OEF is a very high-level language which allows authors to focus on pedagogical issues. Package: wims-modules Description-md5: 723e95137419b5489fb54838a385106c Description-en: minimal set of modules used by WIMS WIMS uses modules to implement every user interface beyond its main page, including administrative tasks, help, mathematic tools, libraries, and a few interactive exercises. . To get the thousands of exercises which give WIMS its power, consider installing the recommended package wims-extra-all (or other packages which provide wims-extra). . Other educational materials can be downloaded outside of the Debian system by automated updates. See http://wimsedu.info Package: wp2latex Description-md5: d28715ce7230a6e60fa33646eb824ebe Description-en: Conversion of WordPerfect documents to LaTeX: the converter WP2LaTeX is a program for conversion WordPerfect documents to LaTeX. All known WP fileformats are supported: MAC WP1.x, WP2,3,4.x, PC WP WP3.x, WP4.x, WP5.x and WP6,7,8,9,10.x. It is possible to convert a lot of features. For example: Centered+Right+Left text, Endnotes, Formulas, Footers, Footnotes, Headers, Indentings, Tables, a lot of Extended characters (Greek, math, cyrilic) and of course a normal text. . This is the pure wp2latex converter to convert WPerfect files. Package: wp2latex-styles Description-md5: 9702c6506cb063093d9f1ab04d1c6c77 Description-en: Conversion of WordPerfect documents to LaTeX: the LaTeX style files WP2LaTeX is a program for conversion WordPerfect documents to LaTeX. All known WP fileformats are supported: MAC WP1.x, WP2,3,4.x, PC WP WP3.x, WP4.x, WP5.x and WP6,7,8,9,10.x. It is possible to convert a lot of features. For example: Centered+Right+Left text, Endnotes, Formulas, Footers, Footnotes, Headers, Indentings, Tables, a lot of Extended characters (Greek, math, cyrilic) and of course a normal text. . These are the style files to compile LaTeX files created by wp2latex. Package: xfce4 Description-md5: c59e6128ce63c4ddc8c403ac8284c19d Description-en: Meta-package for the Xfce Lightweight Desktop Environment Xfce is a lightweight desktop environment for unix-like operating systems. It aims to be fast and lightweight, while still being visually appealing and easy to use. Designed for productivity, it loads and executes applications fast, while conserving system resources. . This package is a metapackage; it depends on the core packages of the Xfce4 desktop environment and recommends some extra Xfce4 packages. If you intend to use Xfce4 and want the full experience then installing this package and the packages it Recommends is a great place to start. . If you just want to pick and choose the core components then feel free to remove this package. Package: xsok Description-md5: d842d405a99632bd748bb70a2e8376b6 Description-en: generic Sokoban game for X11 xsok is a single player strategic game, a superset of the well-known Sokoban game. . The target of Sokoban is to push all the objects into the score area of each level using the mouse or the arrow keys. For the other level subsets, there are different kinds of objects, and special effect squares. Package: xtables-addons-common Description-md5: 06c7344b8942d99cc487f7386cf00d9d Description-en: Additional targets and matches for iptables [tools, libs] Xtables-addons provides extra modules for iptables not present in the kernel, and is the successor of patch-o-matic. It contains matches and targets such as TARPIT, CHAOS, TEE, geoip, IPMARK, DELUDE and condition. . This package provides the userspace libraries for iptables to use the extensions provided by the xtables-addons-dkms or xtables-addons-source packages. Package: xtables-addons-dkms Description-md5: c776608de01b989b0616afe6f93aea35 Description-en: Additional targets and matches for iptables [sources for DKMS] Xtables-addons provides extra modules for iptables not present in the kernel, and is the successor of patch-o-matic. It contains matches and targets such as TARPIT, CHAOS, TEE, geoip, IPMARK, DELUDE and condition. . The dkms package will automatically compile and install the kernel modules for your current kernel version. Package: xtables-addons-source Description-md5: e56d0f906b14b6d21eb78044561a605a Description-en: Additional targets and matches for iptables [sources for module-assistant] Xtables-addons provides extra modules for iptables not present in the kernel, and is the successor of patch-o-matic. It contains matches and targets such as TARPIT, CHAOS, TEE, geoip, IPMARK, DELUDE and condition. . This package provides the source code for the xtables-addons kernel modules. Kernel source or headers are required to compile these modules. . The module-assistant package can be used to a compile them and create a package for your current kernel version. . The xtables-addons-common package is also required in order to make use of these modules. Package: yard Description-md5: 0bb8565613560d1f29659fc327f96e39 Description-en: Ruby documentation tool YARD is a documentation generation tool for the Ruby programming language. It enables the user to generate consistent, usable documentation that can be exported to a number of formats very easily, and also supports extending for custom Ruby constructs such as custom class level definitions. Package: yard-doc Description-md5: c1b4138da54407cba922ae3ca91bf038 Description-en: Ruby documentation tool - documentation YARD is a documentation generation tool for the Ruby programming language. It enables the user to generate consistent, usable documentation that can be exported to a number of formats very easily, and also supports extending for custom Ruby constructs such as custom class level definitions. . This package contains the documentation for YARD. Package: zfs-dkms Description-md5: 39e75ed3c1d3a5bc9c23ff32d0b5bbe1 Description-en: OpenZFS filesystem kernel modules for Linux OpenZFS is a storage platform that encompasses the functionality of traditional filesystems and volume managers. It supports data checksums, compression, encryption, snapshots, and more. . This DKMS package includes the SPA, DMU, ZVOL, and ZPL components of OpenZFS. Package: zfs-dracut Description-md5: 965d2232071aa7eaad3e0a3ca11764b0 Description-en: OpenZFS root filesystem capabilities for Linux - dracut OpenZFS is a storage platform that encompasses the functionality of traditional filesystems and volume managers. It supports data checksums, compression, encryption, snapshots, and more. . This package adds OpenZFS to the system initramfs with a hook for the dracut infrastructure. Package: zfs-test Description-md5: 626efba8698ac960b7fa793abb13e6d2 Description-en: OpenZFS test infrastructure and support scripts OpenZFS is a storage platform that encompasses the functionality of traditional filesystems and volume managers. It supports data checksums, compression, encryption, snapshots, and more. . This package provides the OpenZFS test infrastructure for destructively testing and validating a system using OpenZFS. It is entirely optional and should only be installed and used in test environments. Package: aad-cli Description-md5: 0a55e20e854e41d73da3ff43be48eb7e Description-en: Azure Active Directory Authentication CLI tool Azure Active Directory Authentication enables authentication of Azure Active Directory accounts on your local system. It dynamically creates local accounts, supporting additional features like offline connectivity. . This package contains the CLI tool to manage various aspects of the Azure AD integration. Package: bochs Description-md5: bc053c9a3065af52a73668b7866a2e07 Description-en: IA-32 PC emulator Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . Bochs is capable of running most operating systems inside the emulation including GNU, GNU/Linux, *BSD, FreeDOS, MSDOS and Windows 95/NT. Package: bochs-sdl Description-md5: c1de9e8924d4d41e5077c82fed4d22e9 Description-en: SDL plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains an SDL GUI plugin for Bochs. . Use Scroll-Lock key for full screen. Package: bochs-term Description-md5: 6e4769512394248d4595633871dc05ef Description-en: Terminal (ncurses-based) plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains a Terminal (ncurses-based) GUI plugin for Bochs. Package: bochs-wx Description-md5: 173269ea6b13541db917fabb97fa5bcc Description-en: WxWindows plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains a WxWindows GUI plugin for Bochs. Package: bochs-x Description-md5: d05bd802b2729b64a9ea369b90b87f71 Description-en: X11 plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains an X11 GUI plugin for Bochs. Package: bximage Description-md5: 8deff38bdaf35413e0eddc6a244bcd1c Description-en: Disk Image Creation Tool for Bochs This tool is part of the Bochs project. Its purpose is to generate disk images that are used to allocate the guest operating system in Bochs environment. Package: clickhouse-client Description-md5: 6dceda8938e33615fa36694310e1e0cc Description-en: column-oriented database system (cli client) ClickHouse is a column-oriented database management system that allows generating analytical data reports in real time. . This package provides ClickHouse CLI client. Package: clickhouse-common Description-md5: dafc4f101c8118146cf2903a05dfe5ed Description-en: column-oriented database system (common files) ClickHouse is a column-oriented database management system that allows generating analytical data reports in real time. . This package provides common files for both ClickHouse server and client. Package: clickhouse-server Description-md5: 40ffe1390c0d529d732668d8ed763668 Description-en: column-oriented database system (server runner) ClickHouse is a column-oriented database management system that allows generating analytical data reports in real time. . This package provides ClickHouse server runner. Package: clickhouse-tools Description-md5: 25307a8fa689f823bd361f1d7b95d91a Description-en: column-oriented database system (tools) ClickHouse is a column-oriented database management system that allows generating analytical data reports in real time. . This package provides various ClickHouse tools: - clickhouse-performance-test - clickhouse-benchmark - clickhouse-compressor - clickhouse-copier and some others. Package: cpp-13-aarch64-linux-gnu Description-md5: 60cdf4bb445be15901cb015feeb990b7 Description-en: GNU C preprocessor for aarch64-linux-gnu A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for aarch64-linux-gnu architecture but not the compiler. Package: cpp-15-aarch64-linux-gnu Description-md5: 60cdf4bb445be15901cb015feeb990b7 Description-en: GNU C preprocessor for aarch64-linux-gnu A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for aarch64-linux-gnu architecture but not the compiler. Package: deepin-album Description-md5: b7be99cd3d06af0ecc6fdb8f90b180bc Description-en: album application for Deepin Desktop Environment Deepin-album is a fashion photo manager for viewing and organizing pictures. deepin-album supports command line, mouse drag method to import photos. deepin-album supports single browsing and timeline browsing modes. Package: frog Description-md5: b145eb3e99cea6f0f593498f3115f01c Description-en: tagger and parser for natural languages (runtime) Memory-Based Learning (MBL) is a machine-learning method applicable to a wide range of tasks in Natural Language Processing (NLP). . Frog is a modular system integrating a morphosyntactic tagger, lemmatizer, morphological analyzer, and dependency parser for natural languages. It is based upon it's predecessor TADPOLE (TAgger, Dependency Parser, and mOrphoLogical analyzEr). Using Memory-Based Learning techniques, frog tokenizes, tags, lemmatizes, and morphologically segments word tokens in incoming UTF-8 text files, and assigns a dependency graph to each sentence. Frog is particularly targeted at the increasing need for fast, automatic NLP systems applicable to very large (multi-million to billion word) document collections that are becoming available due to the progressive digitization of both new and old textual data. Up to now, frog has only been tested and used using corpora of Dutch natural language (see the frogdata package for samples). . Frog is a product of the Centre of Language and Speech Technology at Radboud University Nijmegen, it subsumes previous work by the ILK Research Group (Tilburg University, The Netherlands) and the CLiPS Research Centre (University of Antwerp, Belgium). It is currently maintained at the KNAW Humanities Cluster. . If you do scientific research in NLP, Frog will likely be of use to you. Package: g++-13-aarch64-linux-gnu Description-md5: b996f012975000f1ea76fd985d3446d1 Description-en: GNU C++ compiler for aarch64-linux-gnu architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for aarch64-linux-gnu architecture. Package: g++-15-aarch64-linux-gnu Description-md5: b996f012975000f1ea76fd985d3446d1 Description-en: GNU C++ compiler for aarch64-linux-gnu architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for aarch64-linux-gnu architecture. Package: ga68-15-aarch64-linux-gnu Description-md5: 1d021cf463f90b896add67d5bf70dce5 Description-en: GNU Algol 68 compiler for the aarch64-linux-gnu architecture This is the GNU Algol 68 compiler for the aarch64-linux-gnu architecture, which compiles Algol 68 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gcc-13-aarch64-linux-gnu Description-md5: a174b3cd9d1ab0fcdf59f034f24cdb2b Description-en: GNU C compiler for the aarch64-linux-gnu architecture This is the GNU C compiler for the aarch64-linux-gnu architecture, a fairly portable optimizing compiler for C. Package: gcc-15-aarch64-linux-gnu Description-md5: a174b3cd9d1ab0fcdf59f034f24cdb2b Description-en: GNU C compiler for the aarch64-linux-gnu architecture This is the GNU C compiler for the aarch64-linux-gnu architecture, a fairly portable optimizing compiler for C. Package: gccgo-13-aarch64-linux-gnu Description-md5: 7494500d31730016266c3afcd7df0781 Description-en: GNU Go compiler for the aarch64-linux-gnu architecture This is the GNU Go compiler for the aarch64-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-14-aarch64-linux-gnu Description-md5: 7494500d31730016266c3afcd7df0781 Description-en: GNU Go compiler for the aarch64-linux-gnu architecture This is the GNU Go compiler for the aarch64-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-15-aarch64-linux-gnu Description-md5: 7494500d31730016266c3afcd7df0781 Description-en: GNU Go compiler for the aarch64-linux-gnu architecture This is the GNU Go compiler for the aarch64-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccrs-14-aarch64-linux-gnu Description-md5: eb75234f2fddd5902c44709d3f946b62 Description-en: GNU Rust compiler for the aarch64-linux-gnu architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gccrs-15-aarch64-linux-gnu Description-md5: eb75234f2fddd5902c44709d3f946b62 Description-en: GNU Rust compiler for the aarch64-linux-gnu architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gcobol-15-aarch64-linux-gnu Description-md5: 280a432206f056311ab2d425023038e7 Description-en: GNU COBOL compiler for the aarch64-linux-gnu architecture This is the GNU COBOL compiler for the aarch64-linux-gnu architecture, which compiles COBOL on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gdc-13-aarch64-linux-gnu Description-md5: 199fdd9fd06722e3233592ba26207d8c Description-en: GNU D compiler (version 2) for the aarch64-linux-gnu architecture This is the GNU D compiler for the aarch64-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-14-aarch64-linux-gnu Description-md5: 199fdd9fd06722e3233592ba26207d8c Description-en: GNU D compiler (version 2) for the aarch64-linux-gnu architecture This is the GNU D compiler for the aarch64-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-15-aarch64-linux-gnu Description-md5: 199fdd9fd06722e3233592ba26207d8c Description-en: GNU D compiler (version 2) for the aarch64-linux-gnu architecture This is the GNU D compiler for the aarch64-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gfortran-13-aarch64-linux-gnu Description-md5: c9a58ab7359394ce988886339852089d Description-en: GNU Fortran compiler for the aarch64-linux-gnu architecture This is the GNU Fortran compiler for the aarch64-linux-gnu architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-15-aarch64-linux-gnu Description-md5: c9a58ab7359394ce988886339852089d Description-en: GNU Fortran compiler for the aarch64-linux-gnu architecture This is the GNU Fortran compiler for the aarch64-linux-gnu architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gir1.2-cogl-1.0 Description-md5: 148c6f8cbdcd16e6500ac32753a34daf Description-en: GObject introspection data for the Cogl 1.0 library This package contains introspection data for the Clutter scene graph library. . It can be used by packages using the GIRepository format to generate dynamic bindings for libcogl. Package: gir1.2-coglpango-1.0 Description-md5: 9add089f4444192d7be4e46f724bc4b0 Description-en: GObject introspection data for the CoglPango 1.0 library This package contains introspection data for the Clutter scene graph library. . It can be used by packages using the GIRepository format to generate dynamic bindings for libcogl-pango. Package: gm2-13-aarch64-linux-gnu Description-md5: 5c32bb1a293588c845d7a3b4aff8df2e Description-en: GNU Modula-2 compiler for the aarch64-linux-gnu architecture This is the GNU Modula-2 compiler for the aarch64-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-14-aarch64-linux-gnu Description-md5: 5c32bb1a293588c845d7a3b4aff8df2e Description-en: GNU Modula-2 compiler for the aarch64-linux-gnu architecture This is the GNU Modula-2 compiler for the aarch64-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-15-aarch64-linux-gnu Description-md5: 5c32bb1a293588c845d7a3b4aff8df2e Description-en: GNU Modula-2 compiler for the aarch64-linux-gnu architecture This is the GNU Modula-2 compiler for the aarch64-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gnat-13-aarch64-linux-gnu Description-md5: 726b837fa2e33796406f1db6ec3b5d32 Description-en: GNU Ada compiler for the aarch64-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-14-aarch64-linux-gnu Description-md5: 726b837fa2e33796406f1db6ec3b5d32 Description-en: GNU Ada compiler for the aarch64-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-15-aarch64-linux-gnu Description-md5: 726b837fa2e33796406f1db6ec3b5d32 Description-en: GNU Ada compiler for the aarch64-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnustep-multiarch Description-md5: 1aa083590c124afdf6ee3aba2e4598ed Description-en: GNUstep Multi-Arch support This package contains the symlinks in the GNUstep System Library (/usr/lib/aarch64-linux-gnu/GNUstep) to their corresponding architecture-independent directories at /usr/share. Package: gobjc++-13-aarch64-linux-gnu Description-md5: d431b0e6e4059db3dd1d284e2868e6fc Description-en: GNU Objective-C++ compiler for the aarch64-linux-gnu architecture This is the GNU Objective-C++ compiler for the aarch64-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-14-aarch64-linux-gnu Description-md5: d431b0e6e4059db3dd1d284e2868e6fc Description-en: GNU Objective-C++ compiler for the aarch64-linux-gnu architecture This is the GNU Objective-C++ compiler for the aarch64-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-15-aarch64-linux-gnu Description-md5: d431b0e6e4059db3dd1d284e2868e6fc Description-en: GNU Objective-C++ compiler for the aarch64-linux-gnu architecture This is the GNU Objective-C++ compiler for the aarch64-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-13-aarch64-linux-gnu Description-md5: a59c606b6defdc61673dce1d2868f8c0 Description-en: GNU Objective-C compiler for the aarch64-linux-gnu architecture This is the GNU Objective-C compiler for the aarch64-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-14-aarch64-linux-gnu Description-md5: a59c606b6defdc61673dce1d2868f8c0 Description-en: GNU Objective-C compiler for the aarch64-linux-gnu architecture This is the GNU Objective-C compiler for the aarch64-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-15-aarch64-linux-gnu Description-md5: a59c606b6defdc61673dce1d2868f8c0 Description-en: GNU Objective-C compiler for the aarch64-linux-gnu architecture This is the GNU Objective-C compiler for the aarch64-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: ignition-launch-cli Description-md5: 58a5b2679542ab03c37010d229be92d2 Description-en: Ignition Robotics Launch Library - Launch cli Ignition Launch, a component of Ignition Robotics, provides a command line interface to run and manager application and plugins. . Package contains the ignition launch cli application Package: libcogl-dev Description-md5: be3115f4a0115636a1065d55d8a70f4a Description-en: Object oriented GL/GLES Abstraction/Utility Layer (development files) Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. . This package contains the development files for libcogl. Package: libcogl-pango-dev Description-md5: 5c4e7ce27e624192d91d8d0db6688471 Description-en: Object oriented GL/GLES Abstraction/Utility Layer (development files) Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. . This package contains the development files for libcogl-pango. Package: libcogl-pango20 Description-md5: 8c0fee75492f726194fa0be454f54661 Description-en: Object oriented GL/GLES Abstraction/Utility Layer Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. Package: libcogl-path-dev Description-md5: 2e20efef9b615c0cbb5717e31e6c92c8 Description-en: Object oriented GL/GLES Abstraction/Utility Layer (development files) Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. . This package should be used as a build-dependency by programs that use the cogl-path API, but is currently an empty package that depends on libcogl-dev due to circular dependencies between the base cogl API and the cogl-path API. Package: libcogl-path20 Description-md5: 8c0fee75492f726194fa0be454f54661 Description-en: Object oriented GL/GLES Abstraction/Utility Layer Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. Package: libcogl20 Description-md5: 8c0fee75492f726194fa0be454f54661 Description-en: Object oriented GL/GLES Abstraction/Utility Layer Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. Package: libcrcutil-dev Description-md5: 7cbb589eb48b2c03698723b050d7e04d Description-en: library for cyclic redundancy check (CRC) computation - development files Crcutil library provides efficient implementation of CRC algorithms. It includes reference implementation of a novel Multiword CRC algorithm invented by Andrew Kadatch and Bob Jenkins in early 2007. The new algorithm is heavily tuned towards modern Intel and AMD processors and is substantially faster than almost all other software CRC algorithms. . Included whitepaper describes the details of Multiword CRC algorithm as well as other algorithms and CRC manipulation routines implemented by crcutil library. . Crcutil library computes: * Hardware-assisted CRC32C: 0.13 (Nehalem) CPU cycles per byte. * 64-bit and smaller CRCs: 1.0 (Nehalem) - 1.2 (Core) CPU cycles per byte. * 128-bit CRCs: 1.7 CPU cycles per byte. . Since computational speed of 64-bit CRCs is identical to 32-bit and 16-bit, the use of crcutil library enables widespread use of more reliable 64-bit CRCs instead of 32-bit ones. . As a bonus, crcutil also implements a number of useful CRC "tricks" (e.g. computation of CRC of concatenated blocks of data of known length and CRC without touching actual data). . This package provides development files. Package: libcrcutil0t64 Description-md5: 5bab1273f483753a32be02c4ef88f671 Description-en: library for cyclic redundancy check (CRC) computation Crcutil library provides efficient implementation of CRC algorithms. It includes reference implementation of a novel Multiword CRC algorithm invented by Andrew Kadatch and Bob Jenkins in early 2007. The new algorithm is heavily tuned towards modern Intel and AMD processors and is substantially faster than almost all other software CRC algorithms. . Included whitepaper describes the details of Multiword CRC algorithm as well as other algorithms and CRC manipulation routines implemented by crcutil library. . Crcutil library computes: * Hardware-assisted CRC32C: 0.13 (Nehalem) CPU cycles per byte. * 64-bit and smaller CRCs: 1.0 (Nehalem) - 1.2 (Core) CPU cycles per byte. * 128-bit CRCs: 1.7 CPU cycles per byte. . Since computational speed of 64-bit CRCs is identical to 32-bit and 16-bit, the use of crcutil library enables widespread use of more reliable 64-bit CRCs instead of 32-bit ones. . As a bonus, crcutil also implements a number of useful CRC "tricks" (e.g. computation of CRC of concatenated blocks of data of known length and CRC without touching actual data). Package: libfrog-dev Description-md5: 211e50425d33def693dad11b2e0f2135 Description-en: tagger and parser for natural languages (headers) Memory-Based Learning (MBL) is a machine-learning method applicable to a wide range of tasks in Natural Language Processing (NLP). . Frog is a modular system integrating a morphosyntactic tagger, lemmatizer, morphological analyzer, and dependency parser for natural languages. It is based upon it's predecessor TADPOLE (TAgger, Dependency Parser, and mOrphoLogical analyzEr). Using Memory-Based Learning techniques, frog tokenizes, tags, lemmatizes, and morphologically segments word tokens in incoming UTF-8 text files, and assigns a dependency graph to each sentence. Frog is particularly targeted at the increasing need for fast, automatic NLP systems applicable to very large (multi-million to billion word) document collections that are becoming available due to the progressive digitization of both new and old textual data. Up to now, frog has only been tested and used using corpora of Dutch natural language (see the frogdata package for samples). . Frog is a product of the Centre of Language and Speech Technology at Radboud University Nijmegen, it subsumes previous work by the ILK Research Group (Tilburg University, The Netherlands) and the CLiPS Research Centre (University of Antwerp, Belgium).It is currently maintained at the KNAW Humanities Cluster. . This package containers the headers needed to compile against Frog. Package: libfrog3 Description-md5: 8f5f44064b639db60f6e9db1d9c702fc Description-en: tagger and parser for Dutch language (library) Memory-Based Learning (MBL) is a machine-learning method applicable to a wide range of tasks in Natural Language Processing (NLP). . Frog is a modular system integrating a morphosyntactic tagger, lemmatizer, morphological analyzer, and dependency parser for natural languages. Using Memory-Based Learning techniques, Frog analyses word tokens in incoming UTF-8 text files, and assigns a dependency graph to each sentence. . Frog is a product of the Centre of Language and Speech Technology at Radboud University Nijmegen, it subsumes previous work by the ILK Research Group (Tilburg University, The Netherlands) and the CLiPS Research Centre (University of Antwerp, Belgium). It is currently maintained at the KNAW Humanities Cluster. . This package provides the runtime files required to run programs that use Frog. Package: libignition-gazebo-dev Description-md5: 8ba4d9f9a00527803ae38cb007410427 Description-en: Ignition Gazebo classes and functions for robot apps - Development files Ignition Gazebo is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files Package: libignition-gazebo-plugins Description-md5: ed75f85997875eff7f6d998c9eef28e9 Description-en: Ignition Gazebo classes and functions for robot apps - Plugins Ignition Gazebo is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Plugins collection Package: libignition-gazebo6-6 Description-md5: 5f37a7f9f59985df65ec850277bd2285 Description-en: Ignition Gazebo classes and functions for robot apps - Shared library Ignition Gazebo is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Main shared library Package: libignition-launch-dev Description-md5: 980577ac8b37422a77b797e25a67db00 Description-en: Ignition Robotics Launch Library - Development files Ignition Launch, a component of Ignition Robotics, provides a command line interface to run and manager application and plugins. . Package contains the ignition launch development files Package: libignition-launch5-5 Description-md5: b1c4132c18a7f9ea2480e3a2dcb9fdc6 Description-en: Ignition Robotics Launch Library - Launch libraries Ignition Launch, a component of Ignition Robotics, provides a command line interface to run and manager application and plugins. . Package contains the ignition launch libraries Package: libnss-aad Description-md5: a682083ef91d385da535ba1a8080b33c Description-en: Azure Active Directory Authentication module for NSS Azure Active Directory Authentication enables authentication of Azure Active Directory accounts on your local system. It dynamically creates local accounts, supporting additional features like offline connectivity. . This package contains the NSS module. Package: libopaque-bin Description-md5: 89c8a247466ebfa321029aed3d95b77c Description-en: Language bindings for establishing a shared secret using the OPAQUE protocol The opaque library implements the OPAQUE protocol as proposed in the IRTF Crypto Forum Research Group draft (https://github.com/cfrg/draft-irtf-cfrg-opaque). The OPAQUE protocol combines a Oblivious Pseudo-Random Function (OPRF) and an Authenticated Key-Exchange (AKE) into a protocol where a user holding nothing but a password and a server holding some information protected by the password can establish a shared secret. The library comes with bindings for js, php7, ruby, java, erlang, lua, python, go and SASL. . libopaque-bin ships the opaque(1) commandline frontend. Package: libopaque-dev Description-md5: 2645ddc568a48524a64007721e4b8975 Description-en: Establishing a shared secret using the OPAQUE protocol - C development files The opaque library implements the OPAQUE protocol as proposed in the IRTF Crypto Forum Research Group draft (https://github.com/cfrg/draft-irtf-cfrg-opaque). The OPAQUE protocol combines a Oblivious Pseudo-Random Function (OPRF) and an Authenticated Key-Exchange (AKE) into a protocol where a user holding nothing but a password and a server holding some information protected by the password can establish a shared secret. . libopaque-dev delivers C development files for libopaque. Package: libopaque0 Description-md5: 6c3b84bc8e7c19be1a6290864fa66267 Description-en: Establishing a shared secret using the OPAQUE protocol - C library The opaque library implements the OPAQUE protocol as proposed in the IRTF Crypto Forum Research Group draft (https://github.com/cfrg/draft-irtf-cfrg-opaque). The OPAQUE protocol combines a Oblivious Pseudo-Random Function (OPRF) and an Authenticated Key-Exchange (AKE) into a protocol where a user holding nothing but a password and a server holding some information protected by the password can establish a shared secret. . libopaque0 delivers the C library. Package: libotr5-bin Description-md5: e2cff41cba01eb729b59708a65bd3911 Description-en: toolkit for Off-the-Record Messaging library OTR allows you to have private conversations over IM by providing: - Encryption - No one else can read your instant messages. - Authentication - You are assured the correspondent is who you think it is. - Deniability - The messages you send do not have digital signatures that are checkable by a third party. Anyone can forge messages after a conversation to make them look like they came from you. However, during a conversation, your correspondent is assured the messages they see are authentic and unmodified. - Perfect forward secrecy - If you lose control of your private keys, no previous conversation is compromised. . This package contains the program files for the OTR library. Package: libotr5-dev Description-md5: 85b3a9c1be0562fd955915c46ecca1ff Description-en: Off-the-Record Messaging library development files OTR allows you to have private conversations over IM by providing: - Encryption - No one else can read your instant messages. - Authentication - You are assured the correspondent is who you think it is. - Deniability - The messages you send do not have digital signatures that are checkable by a third party. Anyone can forge messages after a conversation to make them look like they came from you. However, during a conversation, your correspondent is assured the messages they see are authentic and unmodified. - Perfect forward secrecy - If you lose control of your private keys, no previous conversation is compromised. . This package contains the header files and static libraries needed to develop applications using libotr. Package: libotr5t64 Description-md5: cff3e3817189bece0518936b4f3ab901 Description-en: Off-the-Record Messaging library OTR allows you to have private conversations over IM by providing: - Encryption - No one else can read your instant messages. - Authentication - You are assured the correspondent is who you think it is. - Deniability - The messages you send do not have digital signatures that are checkable by a third party. Anyone can forge messages after a conversation to make them look like they came from you. However, during a conversation, your correspondent is assured the messages they see are authentic and unmodified. - Perfect forward secrecy - If you lose control of your private keys, no previous conversation is compromised. . This package provides the OTR library. Package: libpam-aad Description-md5: 005c34d19bddf8524f3af21f6da08d98 Description-en: Azure Active Directory Authentication module for PAM Azure Active Directory Authentication enables authentication of Azure Active Directory accounts on your local system. It dynamically creates local accounts, supporting additional features like offline connectivity. . This package contains the PAM module. Package: libregfi-dev Description-md5: 2aed8bca746e6c7f0c3aadaeb08a57c0 Description-en: utility to analysis for Windows NT-based registry (devel files) RegLookup is a system to direct analysis of Windows NT-based registry files providing command line tools, a C API, and a Python module for accessing registry data structures. The project has a focus on providing tools for digital forensics investigations (though is useful for many purposes), and includes algorithms for retrieving deleted data structures from registry hives. . Currently the program allows one to read an entire registry and output it in a (mostly) standardized, quoted format. It also provides features for filtering of results based on registry path and data type. . This package provides the development files. Package: libregfi1t64 Description-md5: 166686126ff450d9d34a781f46c10fba Description-en: utility to analysis for Windows NT-based registry (shared library) RegLookup is a system to direct analysis of Windows NT-based registry files providing command line tools, a C API, and a Python module for accessing registry data structures. The project has a focus on providing tools for digital forensics investigations (though is useful for many purposes), and includes algorithms for retrieving deleted data structures from registry hives. . Currently the program allows one to read an entire registry and output it in a (mostly) standardized, quoted format. It also provides features for filtering of results based on registry path and data type. . This package provides the runtime library. Package: libwine Description-md5: 3db6a8ba0d26a391d504eae7a2076cb6 Description-en: Windows API implementation - library Wine is a free MS-Windows API implementation. . This package provides the Wine library. Package: libwine-dev Description-md5: 7fb6480e82b394015397cc0a91e50ec9 Description-en: Windows API implementation - development files Wine is a free MS-Windows API implementation. . This package provides Wine's C header files and development libraries. Package: mingw-w64-tools Description-md5: e51ae65009b54dc317e276561f73ef47 Description-en: Development tools for 32- and 64-bit Windows MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains: * gendef, which extracts DLL exports from Windows executables; * genidl, which extracts IDL information from Windows executables; * genpeimg, which manipulates PE flags in Windows executables; * widl, which generates build files from IDL descriptions; * pkg-config symlinks to allow .pc-based libraries to be used. Package: msc-generator Description-md5: 06b7d7994376652533343f89963837cf Description-en: Draws signalling charts from textual description Msc-generator is a program that parses textual Message Sequence Chart descriptions and produces graphical output in a variety of file formats. . Msc-generator heavily borrows in concept from the 0.08 version of Michael C McTernan's mscgen. However, it has been completely rewritten from scratch and has a much more extensive (and only partially backwards compatible) language. The command-line interface is fully backwards compatible with mscgen, which enables using Msc-generator's commandline tool everywhere where you can use mscgen, but with the richer syntax. This includes the many tools integrated with mscgen, such as Doxygen, Sphinx and Msctexen. Package: msc-generator-nox Description-md5: 6b55af37857416aff8848eb58f9bb86c Description-en: Draws signalling charts from textual description (no-X version) Msc-generator is a program that parses textual Message Sequence Chart descriptions and produces graphical output in a variety of file formats. . Msc-generator heavily borrows in concept from the 0.08 version of Michael C McTernan's mscgen. However, it has been completely rewritten from scratch and has a much more extensive (and only partially backwards compatible) language. The command-line interface is fully backwards compatible with mscgen, which enables using Msc-generator's commandline tool everywhere where you can use mscgen, but with the richer syntax. This includes the many tools integrated with mscgen, such as Doxygen, Sphinx and Msctexen. . This package is for working without an X server. Package: python3-graph-tool Description-md5: 7d5143f85a6739dac984b51d57ee6d7e Description-en: Efficient network analysis -- Python graph-tool is an efficient Python module for manipulation and statistical analysis of graphs (a.k.a. networks). Contrary to most other Python modules with similar functionality, the core data structures and algorithms are implemented in C++, making extensive use of template metaprogramming, based heavily on the Boost Graph Library. This confers it a level of performance that is comparable (both in memory usage and computation time) to that of a pure C/C++ library. . This package provides the Python material. Package: python3-uwsgidecorators Description-md5: b6d60cbaaf5f0468faa6a7e19cf60424 Description-en: module of decorators for elegant access to uWSGI API (Python 3) uwsgidecorators is a simple Python module that exports a bunch of decorators to allow a more elegant approach to uWSGI API. Provided decorators helps with creating of RPC functions, timers, filemonitors. . This package provides uwsgidecorators module for Python 3. Package: reglookup Description-md5: 4b4cbf28979f6df6f91127ad133ce24b Description-en: utility to analysis for Windows NT-based registry RegLookup is a system to direct analysis of Windows NT-based registry files providing command line tools, a C API, and a Python module for accessing registry data structures. The project has a focus on providing tools for digital forensics investigations (though is useful for many purposes), and includes algorithms for retrieving deleted data structures from registry hives. . Currently the program allows one to read an entire registry and output it in a (mostly) standardized, quoted format. It also provides features for filtering of results based on registry path and data type. The package provides the following commands: reglookup, reglookup-recover and reglookup-timeline. Package: trinity Description-md5: 0b66b5bef215d4a2e96be23a7eddb2e0 Description-en: system call fuzz tester As 'fuzz testing' suggests, trinity calls syscalls at random, with random arguments. Where Trinity differs is that the arguments it passes are not purely random. . If a syscall took, for example, a file descriptor as an argument, one of the first things kernels does is validate that fd, if is not valid the kernel would just reject it as -EINVAL. . So on startup, Trinity creates a list of file descriptors, by opening pipes, scanning sysfs, procfs, /dev, and creates a bunch of sockets using random network protocols. Then when a syscall needs an fd, it gets passed one of these at random. . Trinity also shares those file descriptors between multiple threads, which causes havoc sometimes. . Warning: This program may seriously corrupt your files, including any of those that may be writable on mounted network file shares. It may create network packets that may cause disruption on your local network. Run at your own risk. Package: wine64 Description-md5: 54c9838740378ccb14f94eb5ac802f63 Description-en: Windows API implementation - 64-bit binary loader Wine is a free MS-Windows API implementation. . This package provides the binary loader for 64-bit Windows applications. Package: wine64-preloader Description-md5: 69498f9ec1c6b32adf50641cc3a6ba4c Description-en: Windows API implementation - prelinked 64-bit binary loader Wine is a free MS-Windows API implementation. . This package provides the prelinked loader for 64-bit Windows applications. Package: wine64-tools Description-md5: 7f1bd7babff1d0228d2a02bd58dedb25 Description-en: Windows API implementation - 64-bit developer tools Wine is a free MS-Windows API implementation. . This package provides Wine's 64-bit developer tools. They are capable of generating both 32- and 64-bit results. Package: yosys Description-md5: b4a38d1bfabb13bedbd16816bf4105ab Description-en: Framework for Verilog RTL synthesis This is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. Package: yosys-abc Description-md5: 78d3a02b9e594b464ee6a916e86b3b3e Description-en: Sequential Logic Synthesis and Verification Algorithms ABC is a system for synthesis and verification of binary sequential logic circuits appearing in synchronous hardware designs. It combines scalable logic optimization based on And-Inverter Graphs (AIGs), optimal-delay DAG-based technology mapping for look-up tables and standard cells, and innovative algorithms for sequential synthesis and verification. . This is a fork of berkeley-abc maintained by the YosysHQ team for use in the yosys RTL synthesis framework. Package: yosys-dev Description-md5: 1046b72bf5b8ccf517ca1661cff5d331 Description-en: Framework for Verilog RTL synthesis (development files) Yosys is a framework for Verilog RTL synthesis. It currently has extensive Verilog-2005 support and provides a basic set of synthesis algorithms for various application domains. . Yosys can be adapted to perform any synthesis job by combining the existing passes (algorithms) using synthesis scripts and adding additional passes as needed by extending the yosys C++ code base. . This package contains the headers and programs needed to build yosys plugins. Package: appstream-generator Description-md5: 0403eda20cfaf58f846c7fee1dd1b591 Description-en: Generator for AppStream metadata catalogs AppStream is a cross-distribution effort for creating and sharing metadata of software components available in the package repositories of a distribution. It provides specifications for an unified software component metadata format as well as tools to read, write and validate the metadata. . This package contains a tool to generate catalog metadata from package repositories. It will extract icons, download screenshots, validate and transform the metadata and return XML or YAML files that can be read by AppStream clients, such as software centers. The `appstream-generator` tool will also generate issue reports as JSON documents and HTML pages. Package: cpp-13-arm-linux-gnueabihf Description-md5: ed68a0d5b068e9ec1abe470407d0cbf5 Description-en: GNU C preprocessor for arm-linux-gnueabihf A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for arm-linux-gnueabihf architecture but not the compiler. Package: cpp-15-arm-linux-gnueabihf Description-md5: ed68a0d5b068e9ec1abe470407d0cbf5 Description-en: GNU C preprocessor for arm-linux-gnueabihf A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for arm-linux-gnueabihf architecture but not the compiler. Package: g++-13-arm-linux-gnueabihf Description-md5: 8217684381d0545df03fa8d52efefabb Description-en: GNU C++ compiler for arm-linux-gnueabihf architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for arm-linux-gnueabihf architecture. Package: g++-15-arm-linux-gnueabihf Description-md5: 8217684381d0545df03fa8d52efefabb Description-en: GNU C++ compiler for arm-linux-gnueabihf architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for arm-linux-gnueabihf architecture. Package: ga68-15-arm-linux-gnueabihf Description-md5: ad4985e970389dc83f4e9bece33ae55e Description-en: GNU Algol 68 compiler for the arm-linux-gnueabihf architecture This is the GNU Algol 68 compiler for the arm-linux-gnueabihf architecture, which compiles Algol 68 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gcc-13-arm-linux-gnueabihf Description-md5: 33f90d4dfb358631d3e5a94e55aaa543 Description-en: GNU C compiler for the arm-linux-gnueabihf architecture This is the GNU C compiler for the arm-linux-gnueabihf architecture, a fairly portable optimizing compiler for C. Package: gcc-15-arm-linux-gnueabihf Description-md5: 33f90d4dfb358631d3e5a94e55aaa543 Description-en: GNU C compiler for the arm-linux-gnueabihf architecture This is the GNU C compiler for the arm-linux-gnueabihf architecture, a fairly portable optimizing compiler for C. Package: gccgo-13-arm-linux-gnueabihf Description-md5: 3ce513e797bd4d1a1c346756537f10b2 Description-en: GNU Go compiler for the arm-linux-gnueabihf architecture This is the GNU Go compiler for the arm-linux-gnueabihf architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-14-arm-linux-gnueabihf Description-md5: 3ce513e797bd4d1a1c346756537f10b2 Description-en: GNU Go compiler for the arm-linux-gnueabihf architecture This is the GNU Go compiler for the arm-linux-gnueabihf architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-15-arm-linux-gnueabihf Description-md5: 3ce513e797bd4d1a1c346756537f10b2 Description-en: GNU Go compiler for the arm-linux-gnueabihf architecture This is the GNU Go compiler for the arm-linux-gnueabihf architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccrs-14-arm-linux-gnueabihf Description-md5: f141a87e5d642d885ec8ce4fdc5e8c89 Description-en: GNU Rust compiler for the arm-linux-gnueabihf architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gccrs-15-arm-linux-gnueabihf Description-md5: f141a87e5d642d885ec8ce4fdc5e8c89 Description-en: GNU Rust compiler for the arm-linux-gnueabihf architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gdc-13-arm-linux-gnueabihf Description-md5: e7806cc7fa9ea582cd66e012058e42e9 Description-en: GNU D compiler (version 2) for the arm-linux-gnueabihf architecture This is the GNU D compiler for the arm-linux-gnueabihf architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-14-arm-linux-gnueabihf Description-md5: e7806cc7fa9ea582cd66e012058e42e9 Description-en: GNU D compiler (version 2) for the arm-linux-gnueabihf architecture This is the GNU D compiler for the arm-linux-gnueabihf architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-15-arm-linux-gnueabihf Description-md5: e7806cc7fa9ea582cd66e012058e42e9 Description-en: GNU D compiler (version 2) for the arm-linux-gnueabihf architecture This is the GNU D compiler for the arm-linux-gnueabihf architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gearman-job-server Description-md5: f1497cc9463c528ddc67462ff143594d Description-en: Job server for the Gearman distributed job queue Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package contains the C reimplementation of the job server daemon Package: gearman-tools Description-md5: bd89e9e6b98b528ff8da8b6d4149b229 Description-en: Tools for the Gearman distributed job queue Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package contains some command line tools for manipulating gearman jobs. Package: gfortran-13-arm-linux-gnueabihf Description-md5: 97ae8549d5e903133d4832ce451bf9d5 Description-en: GNU Fortran compiler for the arm-linux-gnueabihf architecture This is the GNU Fortran compiler for the arm-linux-gnueabihf architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-15-arm-linux-gnueabihf Description-md5: 97ae8549d5e903133d4832ce451bf9d5 Description-en: GNU Fortran compiler for the arm-linux-gnueabihf architecture This is the GNU Fortran compiler for the arm-linux-gnueabihf architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gm2-13-arm-linux-gnueabihf Description-md5: 933ee1c99d288f27147a775bc0f4111e Description-en: GNU Modula-2 compiler for the arm-linux-gnueabihf architecture This is the GNU Modula-2 compiler for the arm-linux-gnueabihf architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-14-arm-linux-gnueabihf Description-md5: 933ee1c99d288f27147a775bc0f4111e Description-en: GNU Modula-2 compiler for the arm-linux-gnueabihf architecture This is the GNU Modula-2 compiler for the arm-linux-gnueabihf architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-15-arm-linux-gnueabihf Description-md5: 933ee1c99d288f27147a775bc0f4111e Description-en: GNU Modula-2 compiler for the arm-linux-gnueabihf architecture This is the GNU Modula-2 compiler for the arm-linux-gnueabihf architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gnat-13-arm-linux-gnueabihf Description-md5: add9124ecac0dbae8c33714a6eb422c6 Description-en: GNU Ada compiler for the arm-linux-gnueabihf architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-14-arm-linux-gnueabihf Description-md5: add9124ecac0dbae8c33714a6eb422c6 Description-en: GNU Ada compiler for the arm-linux-gnueabihf architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-15-arm-linux-gnueabihf Description-md5: add9124ecac0dbae8c33714a6eb422c6 Description-en: GNU Ada compiler for the arm-linux-gnueabihf architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnustep-multiarch Description-md5: a0a6467e859c843bae615f382337b22b Description-en: GNUstep Multi-Arch support This package contains the symlinks in the GNUstep System Library (/usr/lib/arm-linux-gnueabihf/GNUstep) to their corresponding architecture-independent directories at /usr/share. Package: gobjc++-13-arm-linux-gnueabihf Description-md5: 6a023a9d4124afa528373e4a11661544 Description-en: GNU Objective-C++ compiler for the arm-linux-gnueabihf architecture This is the GNU Objective-C++ compiler for the arm-linux-gnueabihf architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-14-arm-linux-gnueabihf Description-md5: 6a023a9d4124afa528373e4a11661544 Description-en: GNU Objective-C++ compiler for the arm-linux-gnueabihf architecture This is the GNU Objective-C++ compiler for the arm-linux-gnueabihf architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-15-arm-linux-gnueabihf Description-md5: 6a023a9d4124afa528373e4a11661544 Description-en: GNU Objective-C++ compiler for the arm-linux-gnueabihf architecture This is the GNU Objective-C++ compiler for the arm-linux-gnueabihf architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-13-arm-linux-gnueabihf Description-md5: 53428c52dd8a87e57b005d8ef5eaa131 Description-en: GNU Objective-C compiler for the arm-linux-gnueabihf architecture This is the GNU Objective-C compiler for the arm-linux-gnueabihf architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-14-arm-linux-gnueabihf Description-md5: 53428c52dd8a87e57b005d8ef5eaa131 Description-en: GNU Objective-C compiler for the arm-linux-gnueabihf architecture This is the GNU Objective-C compiler for the arm-linux-gnueabihf architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-15-arm-linux-gnueabihf Description-md5: 53428c52dd8a87e57b005d8ef5eaa131 Description-en: GNU Objective-C compiler for the arm-linux-gnueabihf architecture This is the GNU Objective-C compiler for the arm-linux-gnueabihf architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: hopenpgp-tools Description-md5: 80c0a54dc45e5b0402ac1060a589f9b1 Description-en: hOpenPGP-based command-line tools These utilities, hot, hokey, and hkt, are works in progress. They provide some OpenPGP-related functionality. Package: libgearman-dev Description-md5: 02fca8c0e3465ce85c6486e7087ec973 Description-en: Development files for the Gearman Library Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package contains the development headers for the libgearman library. Package: libgearman8t64 Description-md5: e060387fdc315e3257026627291d0124 Description-en: Library providing Gearman client and worker functions Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package contains the C reimplementation of the client library. Package: libkseexpr-dev Description-md5: c4c9405a2ebe7495b26e0924d68c367d Description-en: development files for libreadstat library ReadStat is a command-line tool and MIT-licensed C library for reading files from popular stats packages. . This package contains the development files. Package: libkseexpr4 Description-md5: c157ea3e143b8a7cd757e48245f95d1c Description-en: embeddable expression evaluation engine - shared library SeExpr is an embeddable, arithmetic expression language that enables flexible artistic control and customization in creating computer graphics images. Example uses include procedural geometry synthesis, image synthesis, simulation control, crowd animation, and geometry deformation. . KSeExpr is a fork of SeExpr mainly used for Krita. . This package contains the core shared library. Package: libkseexprui4 Description-md5: f923496503faba2e746129511b6cfd58 Description-en: embeddable expression evaluation engine - UI shared library SeExpr is an embeddable, arithmetic expression language that enables flexible artistic control and customization in creating computer graphics images. Example uses include procedural geometry synthesis, image synthesis, simulation control, crowd animation, and geometry deformation. . KSeExpr is a fork of SeExpr mainly used for Krita. . This package contains the UI shared library. Package: libripoff-dev Description-md5: 321ebfbc99bd8204fdf07165fd34e586 Description-en: Development files for ripoff RipOff is a GTK+ based CD Ripper for Linux that sports a simple interface, CDDB lookups, and a plugin-based encoder architecture. . This package contains the development files distributed with ripoff. Package: libripoff0 Description-md5: cdb16e556ddd4a632f4509f19fac06e8 Description-en: The ripoff library RipOff is a GTK+ based CD Ripper for Linux that sports a simple interface, CDDB lookups, and a plugin-based encoder architecture. . This package contains the shared library for ripoff framework. Package: mailfront Description-md5: df32c5e85614568b7e938b41fb60bfb4 Description-en: mail server network protocol front-ends mailfront is a set of customizable network front-ends for mail servers. It contains complete SMTP, QMQP, QMTP, and POP3 front-ends as well as an authentication module for IMAP. The mail delivery front-ends also contain internal address filtering features. . Two SMTP back-ends are provided. One delivers mail to qmail-queue, mimicking most of the behavior of qmail-smtpd, with the addition of support for SMTP AUTH. The other rejects all SMTP commands if $SMTPREJECT is set, and execs its command line otherwise (in order to run the above program). Package: octave-instrument-control Description-md5: fbbe7b778112fbb1228bc5cd56ba2ce5 Description-en: instrument control for Octave This package contains Low level input/output functions for serial, i2c, parallel, tcp, gpib, vxi11 and usbtmc interfaces for use in Octave, a scientific computation software. . This Octave add-on package is part of the Octave-Forge project. Package: openjdk-25-dbg Description-md5: d73cb0a41450fd194ce774f2b26510b8 Description-en: Java runtime based on OpenJDK (debugging symbols) OpenJDK is a development environment for building applications, applets, and components using the Java programming language. . This package contains the debugging symbols. Package: openjdk-25-demo Description-md5: 8c587e17f25fb354ef51e27e56507756 Description-en: Java runtime based on OpenJDK (demos and examples) OpenJDK Java runtime Package: openjdk-25-jdk Description-md5: b9e7f4837238c34971e4a8b72ab7d045 Description-en: OpenJDK Development Kit (JDK) OpenJDK is a development environment for building applications, applets, and components using the Java programming language. Package: openjdk-25-jdk-headless Description-md5: 494a52f34d707a832270d6d51a78f511 Description-en: OpenJDK Development Kit (JDK) (headless) OpenJDK is a development environment for building applications, applets, and components using the Java programming language. Package: openjdk-25-jre Description-md5: 5bad8f597e182fdf0635f3b9fccbce1a Description-en: OpenJDK Java runtime, using Hotspot Zero Full Java runtime environment - needed for executing Java GUI and Webstart programs, using Hotspot Zero. Package: openjdk-25-jre-headless Description-md5: 125494d786a73a442968635c3a21c714 Description-en: OpenJDK Java runtime, using Hotspot Zero (headless) Minimal Java runtime - needed for executing non GUI Java programs, using Hotspot Zero. Package: openjdk-25-testsupport Description-md5: 90b36dc9c28e2e8bfec904dc5995bd4c Description-en: Java runtime based on OpenJDK (regression test support) OpenJDK is a development environment for building applications, applets, and components using the Java programming language. . This package contains all the binary files needed to run the OpenJDK autopkg tests. Package: ripoff Description-md5: c5417963cd9c410c58c794605c60fc4d Description-en: modular and intuitive GTK+-based CD-ripper RipOff is a GTK+ based CD Ripper for Linux that sports a simple interface, CDDB lookups, and a plugin-based encoder architecture. . This version doesn't have MP3 support compiled in, you'll need to install the ripoff-mp3-plugin package to rip into mp3. . This package contains the ripoff executable. Package: wine32 Description-md5: 6f28cbab79bb4fb84526de688bbbb0dc Description-en: Windows API implementation - 32-bit binary loader Wine is a free MS-Windows API implementation. . This package provides the binary loader for 32-bit Windows applications. Package: wine32-preloader Description-md5: 119d146ee6d0a5c98595d4bc824e95a7 Description-en: Windows API implementation - prelinked 32-bit binary loader Wine is a free MS-Windows API implementation. . This package provides the prelinked loader for 32-bit Windows applications. Package: wine32-tools Description-md5: ad5c80d7c4e68fb2b9a14646e195ed9e Description-en: Windows API implementation - 32-bit developer tools Wine is a free MS-Windows API implementation. . This package provides Wine's 32-bit developer tools. They are capable of generating 32-bit results. Package: wsjtx-improved Description-md5: 70f68c4174fac5fcd5affc60a599bcb0 Description-en: Weak-signal amateur radio communications WSJT-X implements amateur radio communication protocols or "modes" called FST4, FST4W, FT4, FT8, JT4, JT9, JT65, Q65, MSK144, and WSPR, as well as one called Echo for detecting and measuring your own radio signals reflected from the Moon. These modes were all designed for making reliable, confirmed QSOs under extreme weak-signal conditions. . JT4, JT9, and JT65 use nearly identical message structure and source encoding (the efficient compression of standard messages used for minimal QSOs). They use timed 60-second T/R sequences synchronized with UTC. JT4 and JT65 were designed for EME ("moonbounce") on the VHF/UHF/microwave bands. JT9 is optimized for the MF, and HF bands. It is about 2 dB more sensitive than JT65 while using less than 10% of the bandwidth. Q65 offers submodes with a wide range of T/R sequence lengths and tone spacings. . FT4 and FT8 are operationally similar but use T/R cycles only 7.5 and 15 s long, respectively. MSK144 is designed for Meteor Scatter on the VHF bands. These modes offer enhanced message formats with support for nonstandard callsigns and some popular contests. . FST4 and FST4W are designed particularly for the LF and MF bands. On these bands their fundamental sensitivities are better than other WSJT-X modes with the same sequence lengths, approaching the theoretical limits for their rates of information throughput. FST4 is optimized for two-way QSOs, while FST4W is for quasi-beacon transmissions of WSPR-style messages. FST4 and FST4W do not require the strict, independent time synchronization and phase locking of modes like EbNaut. . WSPR mode implements a protocol designed for probing potential propagation paths with low-power transmissions. WSPR is fully implemented within WSJT-X, including programmable "band-hopping". . wsjt-x_improved is an enhanced version of the excellent WSJT-X software by Joe Taylor K1JT, Steve Franke K9AN, Bill Somerville G4WJS and others. Package: cpp-13-i686-linux-gnu Description-md5: b8282273c17bed5005498d3acc86c9d4 Description-en: GNU C preprocessor for i686-linux-gnu A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for i686-linux-gnu architecture but not the compiler. Package: cpp-15-i686-linux-gnu Description-md5: b8282273c17bed5005498d3acc86c9d4 Description-en: GNU C preprocessor for i686-linux-gnu A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for i686-linux-gnu architecture but not the compiler. Package: g++-13-i686-linux-gnu Description-md5: 88bdc3f5d96144f5748dae6f61c62299 Description-en: GNU C++ compiler for i686-linux-gnu architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for i686-linux-gnu architecture. Package: g++-15-i686-linux-gnu Description-md5: 88bdc3f5d96144f5748dae6f61c62299 Description-en: GNU C++ compiler for i686-linux-gnu architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for i686-linux-gnu architecture. Package: ga68-15-i686-linux-gnu Description-md5: 4e88a649cc8ec8986b65d2a48010e6f4 Description-en: GNU Algol 68 compiler for the i686-linux-gnu architecture This is the GNU Algol 68 compiler for the i686-linux-gnu architecture, which compiles Algol 68 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gcc-13-i686-linux-gnu Description-md5: ba5a678e8889d9e2f2dd41bf10f39ab5 Description-en: GNU C compiler for the i686-linux-gnu architecture This is the GNU C compiler for the i686-linux-gnu architecture, a fairly portable optimizing compiler for C. Package: gcc-15-i686-linux-gnu Description-md5: ba5a678e8889d9e2f2dd41bf10f39ab5 Description-en: GNU C compiler for the i686-linux-gnu architecture This is the GNU C compiler for the i686-linux-gnu architecture, a fairly portable optimizing compiler for C. Package: gccgo-13-i686-linux-gnu Description-md5: 476b8ef19f68a3705287682de1e1d740 Description-en: GNU Go compiler for the i686-linux-gnu architecture This is the GNU Go compiler for the i686-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-14-i686-linux-gnu Description-md5: 476b8ef19f68a3705287682de1e1d740 Description-en: GNU Go compiler for the i686-linux-gnu architecture This is the GNU Go compiler for the i686-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-15-i686-linux-gnu Description-md5: 476b8ef19f68a3705287682de1e1d740 Description-en: GNU Go compiler for the i686-linux-gnu architecture This is the GNU Go compiler for the i686-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccrs-14-i686-linux-gnu Description-md5: 89d94ad4a90fcb92a390dd4106ba80a9 Description-en: GNU Rust compiler for the i686-linux-gnu architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gccrs-15-i686-linux-gnu Description-md5: 89d94ad4a90fcb92a390dd4106ba80a9 Description-en: GNU Rust compiler for the i686-linux-gnu architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gdc-13-i686-linux-gnu Description-md5: 00ea2654f0ce21b65085a895f9f14ee2 Description-en: GNU D compiler (version 2) for the i686-linux-gnu architecture This is the GNU D compiler for the i686-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-14-i686-linux-gnu Description-md5: 00ea2654f0ce21b65085a895f9f14ee2 Description-en: GNU D compiler (version 2) for the i686-linux-gnu architecture This is the GNU D compiler for the i686-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-15-i686-linux-gnu Description-md5: 00ea2654f0ce21b65085a895f9f14ee2 Description-en: GNU D compiler (version 2) for the i686-linux-gnu architecture This is the GNU D compiler for the i686-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gfortran-13-i686-linux-gnu Description-md5: b4cc25194ee0177ada8bf1a75e17c538 Description-en: GNU Fortran compiler for the i686-linux-gnu architecture This is the GNU Fortran compiler for the i686-linux-gnu architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-15-i686-linux-gnu Description-md5: b4cc25194ee0177ada8bf1a75e17c538 Description-en: GNU Fortran compiler for the i686-linux-gnu architecture This is the GNU Fortran compiler for the i686-linux-gnu architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gm2-13-i686-linux-gnu Description-md5: 05ba12e493b72d8343debec63c709f0b Description-en: GNU Modula-2 compiler for the i686-linux-gnu architecture This is the GNU Modula-2 compiler for the i686-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-14-i686-linux-gnu Description-md5: 05ba12e493b72d8343debec63c709f0b Description-en: GNU Modula-2 compiler for the i686-linux-gnu architecture This is the GNU Modula-2 compiler for the i686-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-15-i686-linux-gnu Description-md5: 05ba12e493b72d8343debec63c709f0b Description-en: GNU Modula-2 compiler for the i686-linux-gnu architecture This is the GNU Modula-2 compiler for the i686-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gnat-13-i686-linux-gnu Description-md5: 5d5f78ab40eeb76fd91a48aa1d609842 Description-en: GNU Ada compiler for the i686-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-14-i686-linux-gnu Description-md5: 5d5f78ab40eeb76fd91a48aa1d609842 Description-en: GNU Ada compiler for the i686-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-15-i686-linux-gnu Description-md5: 5d5f78ab40eeb76fd91a48aa1d609842 Description-en: GNU Ada compiler for the i686-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gobjc++-13-i686-linux-gnu Description-md5: b39381afe15d2749b764ed69f8ebf869 Description-en: GNU Objective-C++ compiler for the i686-linux-gnu architecture This is the GNU Objective-C++ compiler for the i686-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-14-i686-linux-gnu Description-md5: b39381afe15d2749b764ed69f8ebf869 Description-en: GNU Objective-C++ compiler for the i686-linux-gnu architecture This is the GNU Objective-C++ compiler for the i686-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-15-i686-linux-gnu Description-md5: b39381afe15d2749b764ed69f8ebf869 Description-en: GNU Objective-C++ compiler for the i686-linux-gnu architecture This is the GNU Objective-C++ compiler for the i686-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-13-i686-linux-gnu Description-md5: 0692b323d7920394e268687801f5005f Description-en: GNU Objective-C compiler for the i686-linux-gnu architecture This is the GNU Objective-C compiler for the i686-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-14-i686-linux-gnu Description-md5: 0692b323d7920394e268687801f5005f Description-en: GNU Objective-C compiler for the i686-linux-gnu architecture This is the GNU Objective-C compiler for the i686-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-15-i686-linux-gnu Description-md5: 0692b323d7920394e268687801f5005f Description-en: GNU Objective-C compiler for the i686-linux-gnu architecture This is the GNU Objective-C compiler for the i686-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: lib64gcc-12-dev Description-md5: 44d3aaff7c21b9d5950416cabd2035a0 Description-en: GCC support library (64bit development files) This package contains the headers and static library files necessary for building C programs which use libgcc, libgomp, libquadmath, libssp or libitm. Package: lib64gcc-13-dev Description-md5: 44d3aaff7c21b9d5950416cabd2035a0 Description-en: GCC support library (64bit development files) This package contains the headers and static library files necessary for building C programs which use libgcc, libgomp, libquadmath, libssp or libitm. Package: lib64gfortran-12-dev Description-md5: 552b8ddfa55fb97fed937c39ac7c9855 Description-en: Runtime library for GNU Fortran applications (64bit development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: lib64gfortran-13-dev Description-md5: 552b8ddfa55fb97fed937c39ac7c9855 Description-en: Runtime library for GNU Fortran applications (64bit development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: lib64gfortran-15-dev Description-md5: 552b8ddfa55fb97fed937c39ac7c9855 Description-en: Runtime library for GNU Fortran applications (64bit development files) This package contains the headers and static library files needed to build GNU Fortran applications. Package: lib64go-12-dev Description-md5: a7ef5921b4b83cb852b9de565ed5fece Description-en: Runtime library for GNU Go applications (64bit development files) This package contains the headers and static library files needed to build GNU Go applications. Package: lib64go-13-dev Description-md5: a7ef5921b4b83cb852b9de565ed5fece Description-en: Runtime library for GNU Go applications (64bit development files) This package contains the headers and static library files needed to build GNU Go applications. Package: lib64go21 Description-md5: 07fa56d3e232224f82aa278bc1a968bd Description-en: Runtime library for GNU Go applications (64bit) Library needed for GNU Go applications linked against the shared library. Package: lib64go22 Description-md5: 07fa56d3e232224f82aa278bc1a968bd Description-en: Runtime library for GNU Go applications (64bit) Library needed for GNU Go applications linked against the shared library. Package: lib64gphobos-12-dev Description-md5: 74c4089eeff14106ae16cc4257ba8f73 Description-en: Phobos D standard library (64bit development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib64gphobos-13-dev Description-md5: 74c4089eeff14106ae16cc4257ba8f73 Description-en: Phobos D standard library (64bit development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib64gphobos-14-dev Description-md5: 74c4089eeff14106ae16cc4257ba8f73 Description-en: Phobos D standard library (64bit development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib64gphobos-15-dev Description-md5: 74c4089eeff14106ae16cc4257ba8f73 Description-en: Phobos D standard library (64bit development files) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib64gphobos3 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib64gphobos4 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib64gphobos5 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib64gphobos6 Description-md5: 2086a0c7f5831c82fec492e812bdd6c1 Description-en: Phobos D standard library (runtime library) This is the Phobos standard library that comes with the D2 compiler. . For more information check http://www.dlang.org/phobos/ Package: lib64objc-12-dev Description-md5: c0fc895d2778279155bfb6af8561da8e Description-en: Runtime library for GNU Objective-C applications (64bit development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: lib64objc-13-dev Description-md5: c0fc895d2778279155bfb6af8561da8e Description-en: Runtime library for GNU Objective-C applications (64bit development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: lib64objc-14-dev Description-md5: c0fc895d2778279155bfb6af8561da8e Description-en: Runtime library for GNU Objective-C applications (64bit development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: lib64objc-15-dev Description-md5: c0fc895d2778279155bfb6af8561da8e Description-en: Runtime library for GNU Objective-C applications (64bit development files) This package contains the headers and static library files needed to build GNU ObjC applications. Package: lib64objc4 Description-md5: ed6abd85fb9be4df45f054e758ab70d5 Description-en: Runtime library for GNU Objective-C applications (64bit) Library needed for GNU ObjC applications linked against the shared library. Package: lib64stdc++-12-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: lib64stdc++-13-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: lib64stdc++-15-dev Description-md5: a197f2aec835e5fc6f8f76039d8a7c4e Description-en: GNU Standard C++ Library v3 (development files) This package contains the headers and static library files necessary for building C++ programs which use libstdc++. . libstdc++-v3 is a complete rewrite from the previous libstdc++-v2, which was included up to g++-2.95. The first version of libstdc++-v3 appeared in g++-3.0. Package: lib64stdc++6-12-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: lib64stdc++6-13-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: lib64stdc++6-14-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: lib64stdc++6-15-dbg Description-md5: 2c454c0f0591e215b1f3b1791f3e7459 Description-en: GNU Standard C++ Library v3 (debug build) This package contains a debug build of the shared libstdc++ library. The debug symbols for the default build can be found in the libstdc++6-dbgsym package. Package: sb16ctrl-bochs Description-md5: 00c29543573b338ce1a35bae09b7e897 Description-en: control utility for Bochs emulated SB16 card You can use this utility to perform some query operations on the Bochs emulated SB16 card. It needs to be installed in your Debian-based guest OS. . The sb16ctrl utility contained in this package can only be used from inside the Bochs emulator. DO NOT TRY IT ON REAL HARDWARE. Package: cpp-13-powerpc64le-linux-gnu Description-md5: 2c7bd4237665c7473651107af2193fed Description-en: GNU C preprocessor for powerpc64le-linux-gnu A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for powerpc64le-linux-gnu architecture but not the compiler. Package: cpp-15-powerpc64le-linux-gnu Description-md5: 2c7bd4237665c7473651107af2193fed Description-en: GNU C preprocessor for powerpc64le-linux-gnu A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for powerpc64le-linux-gnu architecture but not the compiler. Package: g++-13-powerpc64le-linux-gnu Description-md5: 0be28451d4d61c7a7c7261ee29e52e35 Description-en: GNU C++ compiler for powerpc64le-linux-gnu architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for powerpc64le-linux-gnu architecture. Package: g++-15-powerpc64le-linux-gnu Description-md5: 0be28451d4d61c7a7c7261ee29e52e35 Description-en: GNU C++ compiler for powerpc64le-linux-gnu architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for powerpc64le-linux-gnu architecture. Package: ga68-15-powerpc64le-linux-gnu Description-md5: 03d81da6eb6d55480f1548d223572949 Description-en: GNU Algol 68 compiler for the powerpc64le-linux-gnu architecture This is the GNU Algol 68 compiler for the powerpc64le-linux-gnu architecture, which compiles Algol 68 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gcc-13-powerpc64le-linux-gnu Description-md5: 9a78fbda526c5f3157cb5ab0c3cf7fc1 Description-en: GNU C compiler for the powerpc64le-linux-gnu architecture This is the GNU C compiler for the powerpc64le-linux-gnu architecture, a fairly portable optimizing compiler for C. Package: gcc-15-powerpc64le-linux-gnu Description-md5: 9a78fbda526c5f3157cb5ab0c3cf7fc1 Description-en: GNU C compiler for the powerpc64le-linux-gnu architecture This is the GNU C compiler for the powerpc64le-linux-gnu architecture, a fairly portable optimizing compiler for C. Package: gccgo-13-powerpc64le-linux-gnu Description-md5: 5a17cd67dc9ecaa24006880be9427bb8 Description-en: GNU Go compiler for the powerpc64le-linux-gnu architecture This is the GNU Go compiler for the powerpc64le-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-14-powerpc64le-linux-gnu Description-md5: 5a17cd67dc9ecaa24006880be9427bb8 Description-en: GNU Go compiler for the powerpc64le-linux-gnu architecture This is the GNU Go compiler for the powerpc64le-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-15-powerpc64le-linux-gnu Description-md5: 5a17cd67dc9ecaa24006880be9427bb8 Description-en: GNU Go compiler for the powerpc64le-linux-gnu architecture This is the GNU Go compiler for the powerpc64le-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccrs-14-powerpc64le-linux-gnu Description-md5: d0fe6b34eca2f10ee7ee873fcf7f890b Description-en: GNU Rust compiler for the powerpc64le-linux-gnu architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gccrs-15-powerpc64le-linux-gnu Description-md5: d0fe6b34eca2f10ee7ee873fcf7f890b Description-en: GNU Rust compiler for the powerpc64le-linux-gnu architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gdc-13-powerpc64le-linux-gnu Description-md5: 511ac042d081ba2ca3ae7e683ee8367f Description-en: GNU D compiler (version 2) for the powerpc64le-linux-gnu architecture This is the GNU D compiler for the powerpc64le-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-14-powerpc64le-linux-gnu Description-md5: 511ac042d081ba2ca3ae7e683ee8367f Description-en: GNU D compiler (version 2) for the powerpc64le-linux-gnu architecture This is the GNU D compiler for the powerpc64le-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-15-powerpc64le-linux-gnu Description-md5: 511ac042d081ba2ca3ae7e683ee8367f Description-en: GNU D compiler (version 2) for the powerpc64le-linux-gnu architecture This is the GNU D compiler for the powerpc64le-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gfortran-13-powerpc64le-linux-gnu Description-md5: c4e5fd95008e20fa21421f07aca27e28 Description-en: GNU Fortran compiler for the powerpc64le-linux-gnu architecture This is the GNU Fortran compiler for the powerpc64le-linux-gnu architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-15-powerpc64le-linux-gnu Description-md5: c4e5fd95008e20fa21421f07aca27e28 Description-en: GNU Fortran compiler for the powerpc64le-linux-gnu architecture This is the GNU Fortran compiler for the powerpc64le-linux-gnu architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gm2-13-powerpc64le-linux-gnu Description-md5: fb993083e71fd1e0af62e509dacb11b9 Description-en: GNU Modula-2 compiler for the powerpc64le-linux-gnu architecture This is the GNU Modula-2 compiler for the powerpc64le-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-14-powerpc64le-linux-gnu Description-md5: fb993083e71fd1e0af62e509dacb11b9 Description-en: GNU Modula-2 compiler for the powerpc64le-linux-gnu architecture This is the GNU Modula-2 compiler for the powerpc64le-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-15-powerpc64le-linux-gnu Description-md5: fb993083e71fd1e0af62e509dacb11b9 Description-en: GNU Modula-2 compiler for the powerpc64le-linux-gnu architecture This is the GNU Modula-2 compiler for the powerpc64le-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gnat-13-powerpc64le-linux-gnu Description-md5: 8ac95f73f66a0ce812744beb89e351e5 Description-en: GNU Ada compiler for the powerpc64le-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-14-powerpc64le-linux-gnu Description-md5: 8ac95f73f66a0ce812744beb89e351e5 Description-en: GNU Ada compiler for the powerpc64le-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-15-powerpc64le-linux-gnu Description-md5: 8ac95f73f66a0ce812744beb89e351e5 Description-en: GNU Ada compiler for the powerpc64le-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnustep-multiarch Description-md5: da0610fb59559f8a899277fe05174d99 Description-en: GNUstep Multi-Arch support This package contains the symlinks in the GNUstep System Library (/usr/lib/powerpc64le-linux-gnu/GNUstep) to their corresponding architecture-independent directories at /usr/share. Package: gobjc++-13-powerpc64le-linux-gnu Description-md5: 62d25be8e9d06181c6d2eaa1dab2a772 Description-en: GNU Objective-C++ compiler for the powerpc64le-linux-gnu architecture This is the GNU Objective-C++ compiler for the powerpc64le-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-14-powerpc64le-linux-gnu Description-md5: 62d25be8e9d06181c6d2eaa1dab2a772 Description-en: GNU Objective-C++ compiler for the powerpc64le-linux-gnu architecture This is the GNU Objective-C++ compiler for the powerpc64le-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-15-powerpc64le-linux-gnu Description-md5: 62d25be8e9d06181c6d2eaa1dab2a772 Description-en: GNU Objective-C++ compiler for the powerpc64le-linux-gnu architecture This is the GNU Objective-C++ compiler for the powerpc64le-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-13-powerpc64le-linux-gnu Description-md5: 42ae6621ea0fdfd8c7240d742c7060ee Description-en: GNU Objective-C compiler for the powerpc64le-linux-gnu architecture This is the GNU Objective-C compiler for the powerpc64le-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-14-powerpc64le-linux-gnu Description-md5: 42ae6621ea0fdfd8c7240d742c7060ee Description-en: GNU Objective-C compiler for the powerpc64le-linux-gnu architecture This is the GNU Objective-C compiler for the powerpc64le-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-15-powerpc64le-linux-gnu Description-md5: 42ae6621ea0fdfd8c7240d742c7060ee Description-en: GNU Objective-C compiler for the powerpc64le-linux-gnu architecture This is the GNU Objective-C compiler for the powerpc64le-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: librust-tokio-executor-dev Description-md5: 1d0777a6d4941c1d599a024b61bd87bb Description-en: Future execution primitives - Rust source code This package contains the source for the Rust tokio-executor crate, packaged by debcargo for use with cargo and dh-cargo. Package: netlabel-tools Description-md5: c34a9c6dc669e03e4de3a6aeac234e2b Description-en: management tool for the Linux NetLabel Subsystem The NetLabel management utility, netlabelctl, is a command line program designed to allow system administrators to configure the NetLabel system in the kernel. . This package requires that the running kernel supports NETLABEL. Package: fim Description-md5: 545bc8b6326b5387fc70d5fa199f1855 Description-en: scriptable frame buffer, X.org and ascii art image viewer FIM is a highly customizable scriptable image viewer targeted at the users who are comfortable with software like the Vim text editor or the Mutt mail user agent. FIM aims to be a "swiss army knife" for viewing images. Its code derives from the "Fbi" framebuffer image viewer by Gerd Hoffmann. FIM is multidevice: it has X support via the SDL library and ascii art output via the aalib library. . It supports image description files, file search and filtering using regular expressions on filenames and descriptions, caption display, customizable status line, EXIF tags display, EXIF-based image rotation, recursive directory traversal, reading from stdin, and can e.g. jump between two images remembering scale and position. . It can speed up loading by image caching and speed up scaling with mipmaps. It offers GNU readline command line autocompletion and history, completely customizable key bindings, external/internal (if-while based) scriptability (through return codes, standard input/output, and commands given at invocation time, an initialization file, Vim-like autocommands), and much more. Package: gccgo-14-riscv64-linux-gnu Description-md5: cb0c26ec7dbe9b289a3908acdec4f4be Description-en: GNU Go compiler for the riscv64-linux-gnu architecture This is the GNU Go compiler for the riscv64-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccrs-14-riscv64-linux-gnu Description-md5: 5d64461e71f5c808bbac8962ffaf5c69 Description-en: GNU Rust compiler for the riscv64-linux-gnu architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gdc-14-riscv64-linux-gnu Description-md5: 079a7e73375fff1da316ca219ebbcae9 Description-en: GNU D compiler (version 2) for the riscv64-linux-gnu architecture This is the GNU D compiler for the riscv64-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gm2-14-riscv64-linux-gnu Description-md5: bf44f4d7e917b7e8edf9363fe50a90ac Description-en: GNU Modula-2 compiler for the riscv64-linux-gnu architecture This is the GNU Modula-2 compiler for the riscv64-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gnat-14-riscv64-linux-gnu Description-md5: 808a76870de359e9a78a6de8abc0489b Description-en: GNU Ada compiler for the riscv64-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnustep-multiarch Description-md5: 8cabdbbe90cf59a8b9e05adc456a25e8 Description-en: GNUstep Multi-Arch support This package contains the symlinks in the GNUstep System Library (/usr/lib/riscv64-linux-gnu/GNUstep) to their corresponding architecture-independent directories at /usr/share. Package: gobjc++-14-riscv64-linux-gnu Description-md5: 9a5818aa29919ffe77de03b3895dc8e2 Description-en: GNU Objective-C++ compiler for the riscv64-linux-gnu architecture This is the GNU Objective-C++ compiler for the riscv64-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-14-riscv64-linux-gnu Description-md5: 3b04b13a1ceb1ae69e8d04956e6e0e21 Description-en: GNU Objective-C compiler for the riscv64-linux-gnu architecture This is the GNU Objective-C compiler for the riscv64-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: libautopilot-gtk Description-md5: c76f24e365cee9cbd3ae6bd89df0ce70 Description-en: Makes GTK+ applications introspectable by autopilot. This allows autopilot to test any existing GTK+ application, without having to rebuild the application under test. Package: libignition-gui-dev Description-md5: feda608232ae7542cb618649a19a2bc9 Description-en: Ignition Gui classes and functions for robot apps - Development files Ignition Gui is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Package for development libraries and headers. Package: libignition-gui6-6 Description-md5: a1785db80184ac84ff09bc2ef2702ff3 Description-en: Ignition Gui classes and functions for robot apps - Shared library Ignition Gui is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Package contains the main libraries Package: libignition-sensors-air-pressure-dev Description-md5: 7ef8439d5cf7d15ce02454d28114b52d Description-en: Ignition sensors classes and functions for robot apps - Dev files air-pressure Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for air-pressure sensor Package: libignition-sensors-altimeter-dev Description-md5: e7e4bd4fb10ae6d525fd53df49e3f692 Description-en: Ignition sensors classes and functions for robot apps - Dev files altimeter Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for altimeter sensor Package: libignition-sensors-camera-dev Description-md5: 9a8b2d2bc7256e8d3be9e95a8de9da99 Description-en: Ignition sensors classes and functions for robot apps - Dev files Camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for Camera sensor Package: libignition-sensors-core-dev Description-md5: 045b0fe84d48ce2e398a6cd32cb62aba Description-en: Ignition sensors classes and functions for robot apps - Development files Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Core development files Package: libignition-sensors-depth-camera-dev Description-md5: 0cb6de466a3cb3a15285645737d1a676 Description-en: Ignition sensors classes and functions for robot apps - Dev files depth-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for depth-camera sensor Package: libignition-sensors-dev Description-md5: b51a00c68154af6a66278ed2bef0391a Description-en: Ignition sensors classes and functions for robot apps - Metapackage Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Metapackage for all the -dev files Package: libignition-sensors-force-torque-dev Description-md5: a68ff69ec3a7ac190752e37aead56407 Description-en: Ignition sensors classes and functions for robot apps - Dev files force-torque Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for force-torque sensor Package: libignition-sensors-gpu-lidar-dev Description-md5: 7c0a357103c919f224f14ccd4636a13c Description-en: Ignition sensors classes and functions for robot apps - Dev files gpu-lidar Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for gpu-lidar sensor Package: libignition-sensors-imu-dev Description-md5: 2cb4aabeeda68b9203ced686aed19ec1 Description-en: Ignition sensors classes and functions for robot apps - Dev files imu Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for imu sensor Package: libignition-sensors-lidar-dev Description-md5: a97d47fe92f56af44e92d5c936cfb306 Description-en: Ignition sensors classes and functions for robot apps - Dev files lidar Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for lidar sensor Package: libignition-sensors-logical-camera-dev Description-md5: 520a4c764874076ef2a304550f1789a7 Description-en: Ignition sensors classes and functions for robot apps - Dev files logical-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for logical-camera sensor Package: libignition-sensors-magnetometer-dev Description-md5: 959672d6f8a3b26e7fc4e2d9ef834460 Description-en: Ignition sensors classes and functions for robot apps - Dev files magnetometer Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for magnetometer sensor Package: libignition-sensors-navsat-dev Description-md5: 7f056e5be5b7abc2cdf602b4d4ef786a Description-en: Ignition sensors classes and functions for robot apps - Dev files navsat Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for NavSat (GPS) sensor Package: libignition-sensors-rendering-dev Description-md5: e8d69ff6a6c453c6791ed8ea937efcc4 Description-en: Ignition sensors classes and functions for robot apps - Dev files rendering Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for rendering sensor Package: libignition-sensors-rgbd-camera-dev Description-md5: f35c366810390c55e8eb96ba1b7a3e98 Description-en: Ignition Sensors classes and functions for robot apps - Dev files rgbd-camera Ignition Sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for rgbd-camera sensor Package: libignition-sensors-segmentation-camera-dev Description-md5: c99da2926ec257e587e2da1a5878e1ac Description-en: Ignition sensors classes and functions for robot apps - Dev files segmentation-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for segmentation-camera sensor Package: libignition-sensors-thermal-camera-dev Description-md5: c6b83066508432d2887164583c32b21e Description-en: Ignition sensors classes and functions for robot apps - Dev files thermal-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Development files for thermal-camera sensor Package: libignition-sensors6-6 Description-md5: 11c0438fc30ca20a7a7b6a53c5a094a3 Description-en: Ignition sensors classes and functions for robot apps - Shared library Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. Package: libignition-sensors6-air-pressure6 Description-md5: 6af3c8504ac71fac27ab75b3e27d3b55 Description-en: Ignition sensors classes and functions for robot apps - Lib air-pressure Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for air-pressure sensor Package: libignition-sensors6-altimeter6 Description-md5: b5b40c004495caa2a0617bd41ac8fbc4 Description-en: Ignition sensors classes and functions for robot apps - Lib altimeter Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for altimeter sensor Package: libignition-sensors6-camera6 Description-md5: e0cefdb7a9b8fee736a39c6a3e5d7894 Description-en: Ignition sensors classes and functions for robot apps - Lib Camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for Camera sensor Package: libignition-sensors6-depth-camera6 Description-md5: fd36179ae2b4b263c991c031208c6557 Description-en: Ignition sensors classes and functions for robot apps - Lib depth-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for depth-camera sensor Package: libignition-sensors6-force-torque6 Description-md5: 56926cbbacc74bdb19f6320d9d96e9eb Description-en: Ignition sensors classes and functions for robot apps - Lib force-torque Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for force-torque sensor Package: libignition-sensors6-gpu-lidar6 Description-md5: 94dc7b7ee619cf97c4bbd9b9aa1d48e2 Description-en: Ignition sensors classes and functions for robot apps - Lib gpu-lidar Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for gpu-lidar sensor Package: libignition-sensors6-imu6 Description-md5: c3f7a8b0900b5bb22286690a7dad12a8 Description-en: Ignition sensors classes and functions for robot apps - Lib imu Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for imu sensor Package: libignition-sensors6-lidar6 Description-md5: 09928d3bcb5434c27b4ee3f1b66284b0 Description-en: Ignition sensors classes and functions for robot apps - Lib lidar Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for lidar sensor Package: libignition-sensors6-logical-camera6 Description-md5: d21596526c2a201792542dffbe8fb918 Description-en: Ignition sensors classes and functions for robot apps - Lib logical-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for logical-camera sensor Package: libignition-sensors6-magnetometer6 Description-md5: 9f99ea765378626d5f99f4682048de90 Description-en: Ignition sensors classes and functions for robot apps - Lib magnetometer Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for magnetometer sensor Package: libignition-sensors6-navsat6 Description-md5: 6dbd43dc17d2d05de6788cc0423ed621 Description-en: Ignition sensors classes and functions for robot apps - Lib navsat Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for NavSat (GPS) sensor Package: libignition-sensors6-rendering6 Description-md5: 3bbd2907b87b38d1a3df1c97ab4918c5 Description-en: Ignition sensors classes and functions for robot apps - Lib rendering Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for rendering sensor Package: libignition-sensors6-rgbd-camera6 Description-md5: 501dfc7a56ce94b12ca397b409807442 Description-en: Ignition Sensors classes and functions for robot apps - Lib rgbd-camera Ignition Sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for rgbd-camera sensor Package: libignition-sensors6-segmentation-camera6 Description-md5: c33fab7ca9f229ab00c48de7041b65e7 Description-en: Ignition sensors classes and functions for robot apps - Lib segmentation-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for segmentation-camera sensor Package: libignition-sensors6-thermal-camera6 Description-md5: 3d9f0ce4b4fd826a65199add2c4d5f7e Description-en: Ignition sensors classes and functions for robot apps - Lib thermal-camera Ignition sensors is a component in the ignition framework, a set of libraries designed to rapidly develop robot applications. . Shared library for thermal-camera sensor Package: libmiallib-dev Description-md5: 27f4961c2a07fa987b1d0bd4a13ffd92 Description-en: JRC morphological and image processing library - Development files Miallib is a C library including image processing and mathematical morphology algorithms used by Join Research Center in their Big Data Analytics Platform, with binding for Python provided. . This package contains the files needed to develop a software that will use the Miallib (headers, static objects, configuration script). Package: libmiallib1 Description-md5: b7bd283625f499e4453aa7f0ab0b6a2b Description-en: JRC morphological and image processing library Miallib is a C library including image processing and mathematical morphology algorithms used by Join Research Center in their Big Data Analytics Platform, with binding for Python provided. . This package contains the shared library. Package: librust-tokio-reactor-dev Description-md5: 07eabb320ba20cc7e0ec5ba4953b5dff Description-en: Event loop that drives Tokio I/O resources - Rust source code This package contains the source for the Rust tokio-reactor crate, packaged by debcargo for use with cargo and dh-cargo. Package: python3-cypari2 Description-md5: 4ce72cd59d1d99bc5bef7cb61b958cd3 Description-en: Python interface to PARI -- Python 3 A Python interface to the number theory library libpari. . This package installs the library for Python 3. Package: cpp-13-s390x-linux-gnu Description-md5: 15322c927af928619270877121b482b0 Description-en: GNU C preprocessor for s390x-linux-gnu A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for s390x-linux-gnu architecture but not the compiler. Package: cpp-15-s390x-linux-gnu Description-md5: 15322c927af928619270877121b482b0 Description-en: GNU C preprocessor for s390x-linux-gnu A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor configured for s390x-linux-gnu architecture but not the compiler. Package: g++-13-s390x-linux-gnu Description-md5: fafd9d967c83a64a002649a8dd459c79 Description-en: GNU C++ compiler for s390x-linux-gnu architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for s390x-linux-gnu architecture. Package: g++-15-s390x-linux-gnu Description-md5: fafd9d967c83a64a002649a8dd459c79 Description-en: GNU C++ compiler for s390x-linux-gnu architecture This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This package contains C++ cross-compiler for s390x-linux-gnu architecture. Package: ga68-15-s390x-linux-gnu Description-md5: 7d0dc4c685e97c2fe0582c2aa7b3c8bc Description-en: GNU Algol 68 compiler for the s390x-linux-gnu architecture This is the GNU Algol 68 compiler for the s390x-linux-gnu architecture, which compiles Algol 68 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gcc-13-s390x-linux-gnu Description-md5: 2323f276c14ea19238d792f7bdca46a5 Description-en: GNU C compiler for the s390x-linux-gnu architecture This is the GNU C compiler for the s390x-linux-gnu architecture, a fairly portable optimizing compiler for C. Package: gcc-15-s390x-linux-gnu Description-md5: 2323f276c14ea19238d792f7bdca46a5 Description-en: GNU C compiler for the s390x-linux-gnu architecture This is the GNU C compiler for the s390x-linux-gnu architecture, a fairly portable optimizing compiler for C. Package: gccgo-13-s390x-linux-gnu Description-md5: 13a2088fce190da9d678cded8c585159 Description-en: GNU Go compiler for the s390x-linux-gnu architecture This is the GNU Go compiler for the s390x-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-14-s390x-linux-gnu Description-md5: 13a2088fce190da9d678cded8c585159 Description-en: GNU Go compiler for the s390x-linux-gnu architecture This is the GNU Go compiler for the s390x-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccgo-15-s390x-linux-gnu Description-md5: 13a2088fce190da9d678cded8c585159 Description-en: GNU Go compiler for the s390x-linux-gnu architecture This is the GNU Go compiler for the s390x-linux-gnu architecture, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccrs-14-s390x-linux-gnu Description-md5: 60e5147789a6c4bea6683d644c2e355e Description-en: GNU Rust compiler for the s390x-linux-gnu architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gccrs-15-s390x-linux-gnu Description-md5: 60e5147789a6c4bea6683d644c2e355e Description-en: GNU Rust compiler for the s390x-linux-gnu architecture !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! . gccrs is a full alternative implementation of the Rust language ontop of GCC with the goal to become fully upstream with the GNU toolchain. . !!!!! Please note, the compiler is in a very early stage and not usable yet for compiling real Rust programs !!!!! Package: gdc-13-s390x-linux-gnu Description-md5: 82e56d1ee033cd721d1d3bd63425ec46 Description-en: GNU D compiler (version 2) for the s390x-linux-gnu architecture This is the GNU D compiler for the s390x-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-14-s390x-linux-gnu Description-md5: 82e56d1ee033cd721d1d3bd63425ec46 Description-en: GNU D compiler (version 2) for the s390x-linux-gnu architecture This is the GNU D compiler for the s390x-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gdc-15-s390x-linux-gnu Description-md5: 82e56d1ee033cd721d1d3bd63425ec46 Description-en: GNU D compiler (version 2) for the s390x-linux-gnu architecture This is the GNU D compiler for the s390x-linux-gnu architecture, which compiles D on platforms supported by gcc. It uses the gcc backend to generate optimised code. . This compiler supports D language version 2. Package: gfortran-13-s390x-linux-gnu Description-md5: 01fdbbd9efb81f1ce24e9908283f9b07 Description-en: GNU Fortran compiler for the s390x-linux-gnu architecture This is the GNU Fortran compiler for the s390x-linux-gnu architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-15-s390x-linux-gnu Description-md5: 01fdbbd9efb81f1ce24e9908283f9b07 Description-en: GNU Fortran compiler for the s390x-linux-gnu architecture This is the GNU Fortran compiler for the s390x-linux-gnu architecture, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gm2-13-s390x-linux-gnu Description-md5: 066dd0c50b39703aaf5595d82f9ba751 Description-en: GNU Modula-2 compiler for the s390x-linux-gnu architecture This is the GNU Modula-2 compiler for the s390x-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-14-s390x-linux-gnu Description-md5: 066dd0c50b39703aaf5595d82f9ba751 Description-en: GNU Modula-2 compiler for the s390x-linux-gnu architecture This is the GNU Modula-2 compiler for the s390x-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gm2-15-s390x-linux-gnu Description-md5: 066dd0c50b39703aaf5595d82f9ba751 Description-en: GNU Modula-2 compiler for the s390x-linux-gnu architecture This is the GNU Modula-2 compiler for the s390x-linux-gnu architecture, which compiles Modula-2 on platforms supported by gcc. It uses the gcc backend to generate optimised code. Package: gnat-13-s390x-linux-gnu Description-md5: cbfa1b3916e07b6428ca157c12823364 Description-en: GNU Ada compiler for the s390x-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-14-s390x-linux-gnu Description-md5: cbfa1b3916e07b6428ca157c12823364 Description-en: GNU Ada compiler for the s390x-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-15-s390x-linux-gnu Description-md5: cbfa1b3916e07b6428ca157c12823364 Description-en: GNU Ada compiler for the s390x-linux-gnu architecture GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnustep-multiarch Description-md5: 9a3a3c9b54f2ee82f3ebc3f40b7d2a11 Description-en: GNUstep Multi-Arch support This package contains the symlinks in the GNUstep System Library (/usr/lib/s390x-linux-gnu/GNUstep) to their corresponding architecture-independent directories at /usr/share. Package: gobjc++-13-s390x-linux-gnu Description-md5: 1ec28737276f7e4ee370125d58428a01 Description-en: GNU Objective-C++ compiler for the s390x-linux-gnu architecture This is the GNU Objective-C++ compiler for the s390x-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-14-s390x-linux-gnu Description-md5: 1ec28737276f7e4ee370125d58428a01 Description-en: GNU Objective-C++ compiler for the s390x-linux-gnu architecture This is the GNU Objective-C++ compiler for the s390x-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-15-s390x-linux-gnu Description-md5: 1ec28737276f7e4ee370125d58428a01 Description-en: GNU Objective-C++ compiler for the s390x-linux-gnu architecture This is the GNU Objective-C++ compiler for the s390x-linux-gnu architecture, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-13-s390x-linux-gnu Description-md5: e59ac85e61be3dcab6b065120e050280 Description-en: GNU Objective-C compiler for the s390x-linux-gnu architecture This is the GNU Objective-C compiler for the s390x-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-14-s390x-linux-gnu Description-md5: e59ac85e61be3dcab6b065120e050280 Description-en: GNU Objective-C compiler for the s390x-linux-gnu architecture This is the GNU Objective-C compiler for the s390x-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-15-s390x-linux-gnu Description-md5: e59ac85e61be3dcab6b065120e050280 Description-en: GNU Objective-C compiler for the s390x-linux-gnu architecture This is the GNU Objective-C compiler for the s390x-linux-gnu architecture, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code.